45699 lines
3.1 MiB
45699 lines
3.1 MiB
// Copyright (C) 2018 Intel Corporation. All rights reserved.
|
|
// Your use of Intel Corporation's design tools, logic functions
|
|
// and other software and tools, and its AMPP partner logic
|
|
// functions, and any output files from any of the foregoing
|
|
// (including device programming or simulation files), and any
|
|
// associated documentation or information are expressly subject
|
|
// to the terms and conditions of the Intel Program License
|
|
// Subscription Agreement, the Intel Quartus Prime License Agreement,
|
|
// the Intel FPGA IP License Agreement, or other applicable license
|
|
// agreement, including, without limitation, that your use is for
|
|
// the sole purpose of programming logic devices manufactured by
|
|
// Intel and sold by Intel or its authorized distributors. Please
|
|
// refer to the applicable agreement for further details.
|
|
|
|
// VENDOR "Altera"
|
|
// PROGRAM "Quartus Prime"
|
|
// VERSION "Version 18.1.0 Build 625 09/12/2018 SJ Standard Edition"
|
|
|
|
// DATE "01/11/2019 12:38:06"
|
|
|
|
//
|
|
// Device: Altera EP4CE10F17C8 Package FBGA256
|
|
//
|
|
|
|
//
|
|
// This Verilog file should be used for ModelSim-Altera (Verilog) only
|
|
//
|
|
|
|
`timescale 1 ps/ 1 ps
|
|
|
|
module uart_tx_top (
|
|
altera_reserved_tms,
|
|
altera_reserved_tck,
|
|
altera_reserved_tdi,
|
|
altera_reserved_tdo,
|
|
clk,
|
|
rst_n,
|
|
tx,
|
|
rx);
|
|
input altera_reserved_tms;
|
|
input altera_reserved_tck;
|
|
input altera_reserved_tdi;
|
|
output altera_reserved_tdo;
|
|
input clk;
|
|
input rst_n;
|
|
output tx;
|
|
input rx;
|
|
|
|
// Design Ports Information
|
|
// tx => Location: PIN_A6, I/O Standard: 3.3-V LVTTL, Current Strength: 8mA
|
|
// clk => Location: PIN_E1, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
// rst_n => Location: PIN_M16, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
// rx => Location: PIN_B5, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
// altera_reserved_tms => Location: PIN_J5, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
// altera_reserved_tck => Location: PIN_H3, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
// altera_reserved_tdi => Location: PIN_H4, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
// altera_reserved_tdo => Location: PIN_J4, I/O Standard: 3.3-V LVTTL, Current Strength: Default
|
|
|
|
|
|
wire gnd;
|
|
wire vcc;
|
|
wire unknown;
|
|
|
|
assign gnd = 1'b0;
|
|
assign vcc = 1'b1;
|
|
assign unknown = 1'bx;
|
|
|
|
tri1 devclrn;
|
|
tri1 devpor;
|
|
tri1 devoe;
|
|
// synopsys translate_off
|
|
initial $sdf_annotate("uart_tx_v.sdo");
|
|
// synopsys translate_on
|
|
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][6]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][7]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][6]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~14_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][7]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~15_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~9_combout ;
|
|
wire \auto_hub|~GND~combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~_wirecell_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0]~_wirecell_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0_combout ;
|
|
wire \~ALTERA_ASDO_DATA1~~ibuf_o ;
|
|
wire \~ALTERA_ASDO_DATA1~~padout ;
|
|
wire \~ALTERA_FLASH_nCE_nCSO~~ibuf_o ;
|
|
wire \~ALTERA_FLASH_nCE_nCSO~~padout ;
|
|
wire \~ALTERA_DCLK~~padout ;
|
|
wire \~ALTERA_DATA0~~ibuf_o ;
|
|
wire \~ALTERA_DATA0~~padout ;
|
|
wire \~ALTERA_nCEO~~padout ;
|
|
wire \~ALTERA_DCLK~~obuf_o ;
|
|
wire \~ALTERA_nCEO~~obuf_o ;
|
|
wire \clk~input_o ;
|
|
wire \clk~inputclkctrl_outclk ;
|
|
wire \rdaddress[0]~8_combout ;
|
|
wire \rst_n~input_o ;
|
|
wire \rst_n~inputclkctrl_outclk ;
|
|
wire \rx~input_o ;
|
|
wire \uart0|Add7~1_combout ;
|
|
wire \uart0|rx_cnt[0]~7_combout ;
|
|
wire \uart0|Equal5~0_combout ;
|
|
wire \uart0|rx_cnt[5]~19 ;
|
|
wire \uart0|rx_cnt[6]~20_combout ;
|
|
wire \uart0|Add1~0_combout ;
|
|
wire \uart0|Add1~1 ;
|
|
wire \uart0|Add1~2_combout ;
|
|
wire \uart0|Add1~3 ;
|
|
wire \uart0|Add1~4_combout ;
|
|
wire \uart0|rx_bps_cnt~0_combout ;
|
|
wire \uart0|Add1~5 ;
|
|
wire \uart0|Add1~6_combout ;
|
|
wire \uart0|Add1~7 ;
|
|
wire \uart0|Add1~8_combout ;
|
|
wire \uart0|Add1~9 ;
|
|
wire \uart0|Add1~10_combout ;
|
|
wire \uart0|rx_bps_cnt~1_combout ;
|
|
wire \uart0|Add1~11 ;
|
|
wire \uart0|Add1~12_combout ;
|
|
wire \uart0|Add1~13 ;
|
|
wire \uart0|Add1~14_combout ;
|
|
wire \uart0|Add1~15 ;
|
|
wire \uart0|Add1~16_combout ;
|
|
wire \uart0|Add1~17 ;
|
|
wire \uart0|Add1~18_combout ;
|
|
wire \uart0|Equal2~0_combout ;
|
|
wire \uart0|Equal2~1_combout ;
|
|
wire \uart0|Equal1~0_combout ;
|
|
wire \uart0|always10~2_combout ;
|
|
wire \uart0|rx_data_r[0][2]~44_combout ;
|
|
wire \uart0|Selector29~0_combout ;
|
|
wire \uart0|Equal2~2_combout ;
|
|
wire \uart0|rx_clk~q ;
|
|
wire \uart0|rx_data_r[0][2]~45_combout ;
|
|
wire \uart0|rx_data_r[0][2]~53_combout ;
|
|
wire \uart0|rx_data_r[0][0]~q ;
|
|
wire \uart0|Selector28~0_combout ;
|
|
wire \uart0|rx_data_r[0][1]~q ;
|
|
wire \uart0|Add4~0_combout ;
|
|
wire \uart0|Selector27~2_combout ;
|
|
wire \uart0|rx_data_r[0][2]~q ;
|
|
wire \uart0|always10~1_combout ;
|
|
wire \uart0|always10~0_combout ;
|
|
wire \uart0|always10~3_combout ;
|
|
wire \uart0|rx_cnt[6]~11_combout ;
|
|
wire \uart0|Equal5~1_combout ;
|
|
wire \uart0|always10~4_combout ;
|
|
wire \uart0|rx_cnt[0]~8 ;
|
|
wire \uart0|rx_cnt[1]~9_combout ;
|
|
wire \uart0|rx_cnt[1]~10 ;
|
|
wire \uart0|rx_cnt[2]~12_combout ;
|
|
wire \uart0|rx_cnt[2]~13 ;
|
|
wire \uart0|rx_cnt[3]~14_combout ;
|
|
wire \uart0|rx_cnt[3]~15 ;
|
|
wire \uart0|rx_cnt[4]~16_combout ;
|
|
wire \uart0|rx_cnt[4]~17 ;
|
|
wire \uart0|rx_cnt[5]~18_combout ;
|
|
wire \uart0|rx_data_r[3][2]~32_combout ;
|
|
wire \uart0|rx_data_r[0][2]~23_combout ;
|
|
wire \uart0|rx_data_r[3][2]~31_combout ;
|
|
wire \uart0|rx_data_r[3][2]~33_combout ;
|
|
wire \uart0|rx_data_r[3][0]~q ;
|
|
wire \uart0|Add7~2_combout ;
|
|
wire \uart0|rx_data_r[3][1]~q ;
|
|
wire \uart0|Add7~0_combout ;
|
|
wire \uart0|rx_data_r[3][2]~q ;
|
|
wire \uart0|Add13~1_combout ;
|
|
wire \uart0|rx_data_r[9][2]~47_combout ;
|
|
wire \uart0|rx_data_r[1][2]~27_combout ;
|
|
wire \uart0|rx_data_r[9][2]~28_combout ;
|
|
wire \uart0|rx_data_r[8][2]~26_combout ;
|
|
wire \uart0|rx_data_r[9][2]~29_combout ;
|
|
wire \uart0|rx_data_r[9][0]~q ;
|
|
wire \uart0|Add13~2_combout ;
|
|
wire \uart0|rx_data_r[9][1]~q ;
|
|
wire \uart0|Add13~0_combout ;
|
|
wire \uart0|rx_data_r[9][2]~q ;
|
|
wire \uart0|always15~0_combout ;
|
|
wire \uart0|Selector12~2_combout ;
|
|
wire \uart0|Selector14~0_combout ;
|
|
wire \uart0|rx_data_r[4][2]~35_combout ;
|
|
wire \uart0|rx_data_r[5][2]~36_combout ;
|
|
wire \uart0|rx_data_r[7][2]~37_combout ;
|
|
wire \uart0|rx_data_r[5][2]~49_combout ;
|
|
wire \uart0|rx_data_r[5][2]~38_combout ;
|
|
wire \uart0|rx_data_r[5][2]~39_combout ;
|
|
wire \uart0|rx_data_r[5][0]~q ;
|
|
wire \uart0|Selector13~0_combout ;
|
|
wire \uart0|rx_data_r[5][1]~q ;
|
|
wire \uart0|Add9~0_combout ;
|
|
wire \uart0|Selector12~3_combout ;
|
|
wire \uart0|rx_data_r[5][2]~q ;
|
|
wire \uart0|rx_data[4]~feeder_combout ;
|
|
wire \uart0|Add8~1_combout ;
|
|
wire \uart0|rx_data_r[4][2]~34_combout ;
|
|
wire \uart0|rx_data_r[4][2]~48_combout ;
|
|
wire \uart0|rx_data_r[4][0]~q ;
|
|
wire \uart0|Add8~2_combout ;
|
|
wire \uart0|rx_data_r[4][1]~q ;
|
|
wire \uart0|Add8~0_combout ;
|
|
wire \uart0|rx_data_r[4][2]~q ;
|
|
wire \uart0|Selector23~0_combout ;
|
|
wire \uart0|rx_data_r[8][2]~30_combout ;
|
|
wire \uart0|rx_data_r[2][2]~54_combout ;
|
|
wire \uart0|rx_data_r[2][2]~55_combout ;
|
|
wire \uart0|rx_data_r[2][0]~q ;
|
|
wire \uart0|Selector22~0_combout ;
|
|
wire \uart0|rx_data_r[2][1]~q ;
|
|
wire \uart0|Add6~0_combout ;
|
|
wire \uart0|Selector21~2_combout ;
|
|
wire \uart0|rx_data_r[2][2]~q ;
|
|
wire \uart0|rx_data[1]~feeder_combout ;
|
|
wire \always1~1_combout ;
|
|
wire \uart0|Add11~1_combout ;
|
|
wire \uart0|rx_data_r[7][2]~41_combout ;
|
|
wire \uart0|rx_data_r[7][2]~42_combout ;
|
|
wire \uart0|rx_data_r[7][0]~q ;
|
|
wire \uart0|Add11~2_combout ;
|
|
wire \uart0|rx_data_r[7][1]~q ;
|
|
wire \uart0|Add11~0_combout ;
|
|
wire \uart0|rx_data_r[7][2]~q ;
|
|
wire \uart0|rx_data[6]~feeder_combout ;
|
|
wire \uart0|Add10~1_combout ;
|
|
wire \uart0|rx_data_r[6][2]~50_combout ;
|
|
wire \uart0|rx_data_r[6][2]~40_combout ;
|
|
wire \uart0|rx_data_r[6][2]~51_combout ;
|
|
wire \uart0|rx_data_r[6][0]~q ;
|
|
wire \uart0|Add10~2_combout ;
|
|
wire \uart0|rx_data_r[6][1]~q ;
|
|
wire \uart0|Add10~0_combout ;
|
|
wire \uart0|rx_data_r[6][2]~q ;
|
|
wire \uart0|rx_data[5]~feeder_combout ;
|
|
wire \uart0|Add12~1_combout ;
|
|
wire \uart0|rx_data_r[8][2]~43_combout ;
|
|
wire \uart0|rx_data_r[8][2]~52_combout ;
|
|
wire \uart0|rx_data_r[8][0]~q ;
|
|
wire \uart0|Add12~2_combout ;
|
|
wire \uart0|rx_data_r[8][1]~q ;
|
|
wire \uart0|Add12~0_combout ;
|
|
wire \uart0|rx_data_r[8][2]~q ;
|
|
wire \uart0|rx_done~q ;
|
|
wire \always1~0_combout ;
|
|
wire \uart0|Selector26~0_combout ;
|
|
wire \uart0|rx_data_r[1][2]~24_combout ;
|
|
wire \uart0|rx_data_r[1][2]~25_combout ;
|
|
wire \uart0|rx_data_r[1][2]~46_combout ;
|
|
wire \uart0|rx_data_r[1][0]~q ;
|
|
wire \uart0|rx_data_r[8][2]~22_combout ;
|
|
wire \uart0|Selector25~0_combout ;
|
|
wire \uart0|rx_data_r[1][1]~q ;
|
|
wire \uart0|Add5~0_combout ;
|
|
wire \uart0|Selector24~2_combout ;
|
|
wire \uart0|rx_data_r[1][2]~q ;
|
|
wire \uart0|rx_data[0]~feeder_combout ;
|
|
wire \always1~2_combout ;
|
|
wire \str_end~q ;
|
|
wire \always5~0_combout ;
|
|
wire \rdaddress[0]~9 ;
|
|
wire \rdaddress[1]~10_combout ;
|
|
wire \rdaddress[1]~11 ;
|
|
wire \rdaddress[2]~12_combout ;
|
|
wire \rdaddress[2]~13 ;
|
|
wire \rdaddress[3]~14_combout ;
|
|
wire \wraddress[0]~8_combout ;
|
|
wire \wraddress[0]~9 ;
|
|
wire \wraddress[1]~10_combout ;
|
|
wire \wraddress[1]~11 ;
|
|
wire \wraddress[2]~12_combout ;
|
|
wire \wraddress[2]~13 ;
|
|
wire \wraddress[3]~14_combout ;
|
|
wire \Equal1~1_combout ;
|
|
wire \wraddress[3]~15 ;
|
|
wire \wraddress[4]~16_combout ;
|
|
wire \wraddress[4]~17 ;
|
|
wire \wraddress[5]~18_combout ;
|
|
wire \rdaddress[3]~15 ;
|
|
wire \rdaddress[4]~16_combout ;
|
|
wire \rdaddress[4]~17 ;
|
|
wire \rdaddress[5]~18_combout ;
|
|
wire \Equal1~2_combout ;
|
|
wire \rdaddress[5]~19 ;
|
|
wire \rdaddress[6]~20_combout ;
|
|
wire \rdaddress[6]~21 ;
|
|
wire \rdaddress[7]~22_combout ;
|
|
wire \wraddress[5]~19 ;
|
|
wire \wraddress[6]~20_combout ;
|
|
wire \wraddress[6]~21 ;
|
|
wire \wraddress[7]~22_combout ;
|
|
wire \Equal1~3_combout ;
|
|
wire \endaddress[1]~feeder_combout ;
|
|
wire \Equal1~0_combout ;
|
|
wire \Equal1~4_combout ;
|
|
wire \str_en~0_combout ;
|
|
wire \str_en~feeder_combout ;
|
|
wire \str_en~q ;
|
|
wire \tx_done_r[0]~feeder_combout ;
|
|
wire \tx_done_r[1]~feeder_combout ;
|
|
wire \en~0_combout ;
|
|
wire \en~q ;
|
|
wire \uart0|rx_en~0_combout ;
|
|
wire \uart0|rx_en~q ;
|
|
wire \uart0|tx_bps_cnt_max[1]~0_combout ;
|
|
wire \uart0|tx_bps_cnt[0]~13_combout ;
|
|
wire \uart0|tx_bps_cnt[0]~14 ;
|
|
wire \uart0|tx_bps_cnt[1]~15_combout ;
|
|
wire \uart0|tx_bps_cnt[1]~16 ;
|
|
wire \uart0|tx_bps_cnt[2]~17_combout ;
|
|
wire \uart0|tx_bps_cnt[2]~18 ;
|
|
wire \uart0|tx_bps_cnt[3]~19_combout ;
|
|
wire \uart0|tx_bps_cnt[3]~20 ;
|
|
wire \uart0|tx_bps_cnt[4]~21_combout ;
|
|
wire \uart0|tx_bps_cnt[4]~22 ;
|
|
wire \uart0|tx_bps_cnt[5]~23_combout ;
|
|
wire \uart0|tx_bps_cnt[5]~24 ;
|
|
wire \uart0|tx_bps_cnt[6]~25_combout ;
|
|
wire \uart0|tx_bps_cnt[6]~26 ;
|
|
wire \uart0|tx_bps_cnt[7]~27_combout ;
|
|
wire \uart0|tx_bps_cnt[7]~28 ;
|
|
wire \uart0|tx_bps_cnt[8]~29_combout ;
|
|
wire \uart0|Equal0~1_combout ;
|
|
wire \uart0|tx_bps_cnt[8]~30 ;
|
|
wire \uart0|tx_bps_cnt[9]~31_combout ;
|
|
wire \uart0|tx_bps_cnt[9]~32 ;
|
|
wire \uart0|tx_bps_cnt[10]~33_combout ;
|
|
wire \uart0|tx_bps_cnt[10]~34 ;
|
|
wire \uart0|tx_bps_cnt[11]~35_combout ;
|
|
wire \uart0|Equal0~3_combout ;
|
|
wire \uart0|tx_bps_cnt[11]~36 ;
|
|
wire \uart0|tx_bps_cnt[12]~37_combout ;
|
|
wire \uart0|Equal0~2_combout ;
|
|
wire \uart0|Equal0~0_combout ;
|
|
wire \uart0|Equal0~4_combout ;
|
|
wire \uart0|tx_cnt[0]~4_combout ;
|
|
wire \uart0|tx_cnt[1]~2_combout ;
|
|
wire \uart0|Equal4~1_combout ;
|
|
wire \uart0|tx_cnt[2]~3_combout ;
|
|
wire \uart0|Equal4~0_combout ;
|
|
wire \uart0|tx_done~q ;
|
|
wire \uart0|tx_en~0_combout ;
|
|
wire \uart0|tx_en~q ;
|
|
wire \uart0|tx_cnt[3]~0_combout ;
|
|
wire \uart0|tx_cnt[3]~1_combout ;
|
|
wire \altera_reserved_tms~input_o ;
|
|
wire \altera_reserved_tck~input_o ;
|
|
wire \altera_reserved_tdi~input_o ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~17_combout ;
|
|
wire \altera_internal_jtag~TDIUTAP ;
|
|
wire \altera_internal_jtag~TMSUTAP ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~12_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~4_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal1~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~14 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~8 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~10 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~12 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4_combout ;
|
|
wire \auto_signaltap_0|~GND~combout ;
|
|
wire \~QIC_CREATED_GND~I_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~17_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][5]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~21_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][4]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~12_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~16_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][1]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~17_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~8_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~9 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~13_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~14 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~15_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~16 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~17_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~18 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~19_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~20 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~21_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~22 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~23_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~24 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7]~25_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~15_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][3]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~19_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2]~feeder_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][3]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~4_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][2]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][1]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1]~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2]~1_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0]~2_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~11_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~27_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~15_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~18_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][6]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~22_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~16_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~19_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][7]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~23_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~17_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~20_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][8]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~24_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~18_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~21_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][9]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~25_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~19_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~12_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~16_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][4]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~20_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~14_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~14_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][2]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~18_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~4_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10]~4_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2]~feeder_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~15_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~16_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~14_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~17 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~18_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~19 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4]~20_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~12 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~14_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~15 ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~16_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~14_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~15_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~12_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~17_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~11_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~32_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~33 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~35_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~36 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~37_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~38 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~39_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~40 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~41_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~42 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~43_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~44 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~45_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~46 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~47_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~48 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~49_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~50 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~51_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~52 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~53_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~54 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~55_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~56 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~57_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~58 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~59_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~60 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~61_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~62 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~63_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~64 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~65_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~66 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~67_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~68 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~69_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~70 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~71_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~72 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~73_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~74 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~75_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~76 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~77_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~78 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~79_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~80 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~81_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~82 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~83_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~84 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~85_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~86 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~87_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~88 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~89_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~90 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~91_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~92 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~93_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~94 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31]~95_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ;
|
|
wire \uart0|rx_clk~clkctrl_outclk ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~13 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~15 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~17 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~19 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~21 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~23 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~55_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~54_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~51_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~52_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~49_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~48_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~58_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~57_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~1_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[19]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~39_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~40_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~36_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~37_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~46_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~45_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~43_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~42_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~0_combout ;
|
|
wire \address[0]~0_combout ;
|
|
wire \address[1]~1_combout ;
|
|
wire \address[2]~2_combout ;
|
|
wire \address[3]~3_combout ;
|
|
wire \address[4]~4_combout ;
|
|
wire \address[5]~5_combout ;
|
|
wire \address[6]~6_combout ;
|
|
wire \address[7]~7_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~9_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~1_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~8_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~7_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~6_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~5_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~4_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~3_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~0_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~70_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~69_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~64_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~63_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~66_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~67_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~61_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~60_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~0_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~81_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~82_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~75_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~76_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~73_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~72_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~79_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~78_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~33_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~34_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35_combout ;
|
|
wire \tx_data[5]~feeder_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[32]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~28_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~27_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~24_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~25_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26_combout ;
|
|
wire \tx_data[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~31_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~30_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~1_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17_combout ;
|
|
wire \tx_data[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20_combout ;
|
|
wire \tx_data[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~0_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~87_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~88_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~94_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~93_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~90_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~91_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~85_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~84_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~105_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~106_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~99_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~100_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~102_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~103_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~97_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~96_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~1_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_112|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_109|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_106|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11_combout ;
|
|
wire \auto_signaltap_0|acq_trigger_in_reg[16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_115|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~6 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~21 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~25_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~24 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~26_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~28_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~27 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~29_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~31_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~30 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~32_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~34_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~14 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~16 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~18 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~20 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~22 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~24 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~26 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~28 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~30 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~32 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~33_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~31_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~25_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~33 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~35_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~37_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~34 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~36 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13]~37_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~36 ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~38_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~40_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~35_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~29_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~27_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~4_combout ;
|
|
wire \auto_signaltap_0|~VCC~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1]~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~20_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3]~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[15]~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[16]~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[17]~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[19]~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[20]~20_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[21]~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[26]~26_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~26_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[25]~25_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~25_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][24]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][24]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[24]~24_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~24_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[23]~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][22]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][22]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[22]~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~20_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][18]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][18]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[18]~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11~COUT ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita12~combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7~portbdataout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17~portbdataout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[18]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18~portbdataout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[20]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21~portbdataout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[23]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23~portbdataout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[28]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28~portbdataout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[30]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[34]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[31]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[27]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[19]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[13]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1_combout ;
|
|
wire \auto_signaltap_0|acq_data_in_reg[0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~q ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~26_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~25_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~24_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~23_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~22_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~21_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~20_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~19_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~18_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~17_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~16_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~15_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~1_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~4_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~5_combout ;
|
|
wire \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][5]~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~13_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5]~q ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~7_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~8 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~9_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal~combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~10 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~11_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~12 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~15_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~16 ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4]~17_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~13_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~5_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~4_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~0_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~9_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~11_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~13_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~14_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~12_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~10_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~3_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~6_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~9_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~q ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~10_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo~q ;
|
|
wire \altera_internal_jtag~TCKUTAP ;
|
|
wire \altera_internal_jtag~TCKUTAPclkctrl_outclk ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~1_combout ;
|
|
wire \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~2_combout ;
|
|
wire \ram0|altsyncram_component|auto_generated|mgl_prim2|enable_write~0_combout ;
|
|
wire \uart0|tx_data_r[7]~feeder_combout ;
|
|
wire \uart0|tx~0_combout ;
|
|
wire \uart0|tx_clk~feeder_combout ;
|
|
wire \uart0|tx_clk~q ;
|
|
wire \uart0|tx~1_combout ;
|
|
wire \uart0|tx~2_combout ;
|
|
wire \uart0|tx~3_combout ;
|
|
wire \uart0|tx_data_r[3]~feeder_combout ;
|
|
wire \uart0|Mux0~0_combout ;
|
|
wire \uart0|Mux0~1_combout ;
|
|
wire \uart0|tx~4_combout ;
|
|
wire \uart0|tx~5_combout ;
|
|
wire \uart0|tx~q ;
|
|
wire \altera_internal_jtag~TDO ;
|
|
wire [3:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg ;
|
|
wire [16:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs ;
|
|
wire [4:0] \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter ;
|
|
wire [7:0] \ram0|altsyncram_component|auto_generated|altsyncram1|q_a ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs ;
|
|
wire [7:0] \ram0|altsyncram_component|auto_generated|altsyncram1|q_b ;
|
|
wire [1:0] tx_done_r;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs ;
|
|
wire [6:0] \uart0|rx_cnt ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs ;
|
|
wire [7:0] \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg ;
|
|
wire [7:0] \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg ;
|
|
wire [7:0] wraddress;
|
|
wire [11:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg ;
|
|
wire [7:0] \uart0|rx_data ;
|
|
wire [7:0] rdaddress;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs ;
|
|
wire [3:0] \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs ;
|
|
wire [12:0] \uart0|tx_bps_cnt ;
|
|
wire [9:0] \uart0|rx_bps_cnt ;
|
|
wire [6:0] \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg ;
|
|
wire [7:0] tx_data;
|
|
wire [4:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit ;
|
|
wire [2:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg ;
|
|
wire [3:0] \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|output119a ;
|
|
wire [3:0] \uart0|tx_cnt ;
|
|
wire [7:0] \uart0|tx_data_r ;
|
|
wire [7:0] endaddress;
|
|
wire [26:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs ;
|
|
wire [12:0] \uart0|tx_bps_cnt_max ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs ;
|
|
wire [15:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state ;
|
|
wire [3:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg ;
|
|
wire [2:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt ;
|
|
wire [4:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal ;
|
|
wire [4:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter ;
|
|
wire [30:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 ;
|
|
wire [32:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed ;
|
|
wire [35:0] \auto_signaltap_0|acq_trigger_in_reg ;
|
|
wire [3:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR ;
|
|
wire [3:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg ;
|
|
wire [1:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg ;
|
|
wire [9:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg ;
|
|
wire [3:0] \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata ;
|
|
wire [31:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg ;
|
|
wire [3:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR ;
|
|
wire [3:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs ;
|
|
wire [12:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs ;
|
|
wire [13:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs ;
|
|
wire [5:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit ;
|
|
wire [12:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs ;
|
|
wire [15:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg ;
|
|
wire [26:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs ;
|
|
wire [35:0] \auto_signaltap_0|acq_data_in_reg ;
|
|
wire [15:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs ;
|
|
wire [26:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq ;
|
|
wire [3:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter ;
|
|
wire [9:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr ;
|
|
wire [13:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter ;
|
|
wire [3:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs ;
|
|
wire [12:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs ;
|
|
wire [2:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs ;
|
|
wire [12:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed ;
|
|
wire [12:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed ;
|
|
wire [35:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs ;
|
|
|
|
wire [17:0] \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus ;
|
|
wire [17:0] \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34_PORTBDATAOUT_bus ;
|
|
wire [0:0] \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35_PORTBDATAOUT_bus ;
|
|
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [0];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [1] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [1];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [2] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [2];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [3] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [3];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [4] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [4];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [5] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [5];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [6] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [6];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [7] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus [7];
|
|
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [0] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [0];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [1] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [1];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [2] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [2];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [3] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [3];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [4] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [4];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [5] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [5];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [6] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [6];
|
|
assign \ram0|altsyncram_component|auto_generated|altsyncram1|q_b [7] = \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus [7];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34_PORTBDATAOUT_bus [0];
|
|
|
|
assign \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35~portbdataout = \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35_PORTBDATAOUT_bus [0];
|
|
|
|
// Location: FF_X13_Y19_N31
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N29
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [6]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~14 .lut_mask = 16'hFA50;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N23
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~15 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][7]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~15 .lut_mask = 16'hF5A0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][6]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~8 .lut_mask = 16'hAFA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [7]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][7]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~9 .lut_mask = 16'hFA0A;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~3 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~3 .lut_mask = 16'hF0FF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~3_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0 .lut_mask = 16'h73C8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_ff~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0 .lut_mask = 16'h7272;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_out_mode_ff~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOOBUF_X9_Y24_N16
|
|
cycloneive_io_obuf \tx~output (
|
|
.i(!\uart0|tx~q ),
|
|
.oe(vcc),
|
|
.seriesterminationcontrol(16'b0000000000000000),
|
|
.devoe(devoe),
|
|
.o(tx),
|
|
.obar());
|
|
// synopsys translate_off
|
|
defparam \tx~output .bus_hold = "false";
|
|
defparam \tx~output .open_drain_output = "false";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOOBUF_X0_Y12_N15
|
|
cycloneive_io_obuf \altera_reserved_tdo~output (
|
|
.i(\altera_internal_jtag~TDO ),
|
|
.oe(vcc),
|
|
.seriesterminationcontrol(16'b0000000000000000),
|
|
.devoe(devoe),
|
|
.o(altera_reserved_tdo),
|
|
.obar());
|
|
// synopsys translate_off
|
|
defparam \altera_reserved_tdo~output .bus_hold = "false";
|
|
defparam \altera_reserved_tdo~output .open_drain_output = "false";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOIBUF_X0_Y11_N8
|
|
cycloneive_io_ibuf \clk~input (
|
|
.i(clk),
|
|
.ibar(gnd),
|
|
.o(\clk~input_o ));
|
|
// synopsys translate_off
|
|
defparam \clk~input .bus_hold = "false";
|
|
defparam \clk~input .simulate_z_as = "z";
|
|
// synopsys translate_on
|
|
|
|
// Location: CLKCTRL_G2
|
|
cycloneive_clkctrl \clk~inputclkctrl (
|
|
.ena(vcc),
|
|
.inclk({vcc,vcc,vcc,\clk~input_o }),
|
|
.clkselect(2'b00),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.outclk(\clk~inputclkctrl_outclk ));
|
|
// synopsys translate_off
|
|
defparam \clk~inputclkctrl .clock_type = "global clock";
|
|
defparam \clk~inputclkctrl .ena_register_mode = "none";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N6
|
|
cycloneive_lcell_comb \rdaddress[0]~8 (
|
|
// Equation(s):
|
|
// \rdaddress[0]~8_combout = (rdaddress[0] & (\uart0|tx_done~q $ (VCC))) # (!rdaddress[0] & (\uart0|tx_done~q & VCC))
|
|
// \rdaddress[0]~9 = CARRY((rdaddress[0] & \uart0|tx_done~q ))
|
|
|
|
.dataa(rdaddress[0]),
|
|
.datab(\uart0|tx_done~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\rdaddress[0]~8_combout ),
|
|
.cout(\rdaddress[0]~9 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[0]~8 .lut_mask = 16'h6688;
|
|
defparam \rdaddress[0]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOIBUF_X34_Y12_N22
|
|
cycloneive_io_ibuf \rst_n~input (
|
|
.i(rst_n),
|
|
.ibar(gnd),
|
|
.o(\rst_n~input_o ));
|
|
// synopsys translate_off
|
|
defparam \rst_n~input .bus_hold = "false";
|
|
defparam \rst_n~input .simulate_z_as = "z";
|
|
// synopsys translate_on
|
|
|
|
// Location: CLKCTRL_G8
|
|
cycloneive_clkctrl \rst_n~inputclkctrl (
|
|
.ena(vcc),
|
|
.inclk({vcc,vcc,vcc,\rst_n~input_o }),
|
|
.clkselect(2'b00),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.outclk(\rst_n~inputclkctrl_outclk ));
|
|
// synopsys translate_off
|
|
defparam \rst_n~inputclkctrl .clock_type = "global clock";
|
|
defparam \rst_n~inputclkctrl .ena_register_mode = "none";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOIBUF_X5_Y24_N8
|
|
cycloneive_io_ibuf \rx~input (
|
|
.i(rx),
|
|
.ibar(gnd),
|
|
.o(\rx~input_o ));
|
|
// synopsys translate_off
|
|
defparam \rx~input .bus_hold = "false";
|
|
defparam \rx~input .simulate_z_as = "z";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N12
|
|
cycloneive_lcell_comb \uart0|Add7~1 (
|
|
// Equation(s):
|
|
// \uart0|Add7~1_combout = \uart0|rx_data_r[3][0]~q $ (\rx~input_o )
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[3][0]~q ),
|
|
.datad(\rx~input_o ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add7~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add7~1 .lut_mask = 16'h0FF0;
|
|
defparam \uart0|Add7~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N4
|
|
cycloneive_lcell_comb \uart0|rx_cnt[0]~7 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[0]~7_combout = \uart0|rx_cnt [0] $ (VCC)
|
|
// \uart0|rx_cnt[0]~8 = CARRY(\uart0|rx_cnt [0])
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_cnt[0]~7_combout ),
|
|
.cout(\uart0|rx_cnt[0]~8 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[0]~7 .lut_mask = 16'h55AA;
|
|
defparam \uart0|rx_cnt[0]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N24
|
|
cycloneive_lcell_comb \uart0|Equal5~0 (
|
|
// Equation(s):
|
|
// \uart0|Equal5~0_combout = (!\uart0|rx_cnt [0] & (!\uart0|rx_cnt [3] & (\uart0|rx_cnt [2] & \uart0|rx_cnt [1])))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal5~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal5~0 .lut_mask = 16'h1000;
|
|
defparam \uart0|Equal5~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N14
|
|
cycloneive_lcell_comb \uart0|rx_cnt[5]~18 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[5]~18_combout = (\uart0|rx_cnt [5] & (!\uart0|rx_cnt[4]~17 )) # (!\uart0|rx_cnt [5] & ((\uart0|rx_cnt[4]~17 ) # (GND)))
|
|
// \uart0|rx_cnt[5]~19 = CARRY((!\uart0|rx_cnt[4]~17 ) # (!\uart0|rx_cnt [5]))
|
|
|
|
.dataa(\uart0|rx_cnt [5]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|rx_cnt[4]~17 ),
|
|
.combout(\uart0|rx_cnt[5]~18_combout ),
|
|
.cout(\uart0|rx_cnt[5]~19 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[5]~18 .lut_mask = 16'h5A5F;
|
|
defparam \uart0|rx_cnt[5]~18 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N16
|
|
cycloneive_lcell_comb \uart0|rx_cnt[6]~20 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[6]~20_combout = \uart0|rx_cnt[5]~19 $ (!\uart0|rx_cnt [6])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_cnt [6]),
|
|
.cin(\uart0|rx_cnt[5]~19 ),
|
|
.combout(\uart0|rx_cnt[6]~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[6]~20 .lut_mask = 16'hF00F;
|
|
defparam \uart0|rx_cnt[6]~20 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N6
|
|
cycloneive_lcell_comb \uart0|Add1~0 (
|
|
// Equation(s):
|
|
// \uart0|Add1~0_combout = \uart0|rx_bps_cnt [0] $ (VCC)
|
|
// \uart0|Add1~1 = CARRY(\uart0|rx_bps_cnt [0])
|
|
|
|
.dataa(\uart0|rx_bps_cnt [0]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add1~0_combout ),
|
|
.cout(\uart0|Add1~1 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~0 .lut_mask = 16'h55AA;
|
|
defparam \uart0|Add1~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N7
|
|
dffeas \uart0|rx_bps_cnt[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N8
|
|
cycloneive_lcell_comb \uart0|Add1~2 (
|
|
// Equation(s):
|
|
// \uart0|Add1~2_combout = (\uart0|rx_bps_cnt [1] & (!\uart0|Add1~1 )) # (!\uart0|rx_bps_cnt [1] & ((\uart0|Add1~1 ) # (GND)))
|
|
// \uart0|Add1~3 = CARRY((!\uart0|Add1~1 ) # (!\uart0|rx_bps_cnt [1]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_bps_cnt [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~1 ),
|
|
.combout(\uart0|Add1~2_combout ),
|
|
.cout(\uart0|Add1~3 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~2 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|Add1~2 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N9
|
|
dffeas \uart0|rx_bps_cnt[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N10
|
|
cycloneive_lcell_comb \uart0|Add1~4 (
|
|
// Equation(s):
|
|
// \uart0|Add1~4_combout = (\uart0|rx_bps_cnt [2] & (\uart0|Add1~3 $ (GND))) # (!\uart0|rx_bps_cnt [2] & (!\uart0|Add1~3 & VCC))
|
|
// \uart0|Add1~5 = CARRY((\uart0|rx_bps_cnt [2] & !\uart0|Add1~3 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_bps_cnt [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~3 ),
|
|
.combout(\uart0|Add1~4_combout ),
|
|
.cout(\uart0|Add1~5 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~4 .lut_mask = 16'hC30C;
|
|
defparam \uart0|Add1~4 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N4
|
|
cycloneive_lcell_comb \uart0|rx_bps_cnt~0 (
|
|
// Equation(s):
|
|
// \uart0|rx_bps_cnt~0_combout = (\uart0|Equal1~0_combout & \uart0|Add1~4_combout )
|
|
|
|
.dataa(\uart0|Equal1~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\uart0|Add1~4_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_bps_cnt~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt~0 .lut_mask = 16'hA0A0;
|
|
defparam \uart0|rx_bps_cnt~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N5
|
|
dffeas \uart0|rx_bps_cnt[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_bps_cnt~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N12
|
|
cycloneive_lcell_comb \uart0|Add1~6 (
|
|
// Equation(s):
|
|
// \uart0|Add1~6_combout = (\uart0|rx_bps_cnt [3] & (!\uart0|Add1~5 )) # (!\uart0|rx_bps_cnt [3] & ((\uart0|Add1~5 ) # (GND)))
|
|
// \uart0|Add1~7 = CARRY((!\uart0|Add1~5 ) # (!\uart0|rx_bps_cnt [3]))
|
|
|
|
.dataa(\uart0|rx_bps_cnt [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~5 ),
|
|
.combout(\uart0|Add1~6_combout ),
|
|
.cout(\uart0|Add1~7 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~6 .lut_mask = 16'h5A5F;
|
|
defparam \uart0|Add1~6 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N13
|
|
dffeas \uart0|rx_bps_cnt[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[3] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N14
|
|
cycloneive_lcell_comb \uart0|Add1~8 (
|
|
// Equation(s):
|
|
// \uart0|Add1~8_combout = (\uart0|rx_bps_cnt [4] & (\uart0|Add1~7 $ (GND))) # (!\uart0|rx_bps_cnt [4] & (!\uart0|Add1~7 & VCC))
|
|
// \uart0|Add1~9 = CARRY((\uart0|rx_bps_cnt [4] & !\uart0|Add1~7 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_bps_cnt [4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~7 ),
|
|
.combout(\uart0|Add1~8_combout ),
|
|
.cout(\uart0|Add1~9 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~8 .lut_mask = 16'hC30C;
|
|
defparam \uart0|Add1~8 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N15
|
|
dffeas \uart0|rx_bps_cnt[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[4] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N16
|
|
cycloneive_lcell_comb \uart0|Add1~10 (
|
|
// Equation(s):
|
|
// \uart0|Add1~10_combout = (\uart0|rx_bps_cnt [5] & (!\uart0|Add1~9 )) # (!\uart0|rx_bps_cnt [5] & ((\uart0|Add1~9 ) # (GND)))
|
|
// \uart0|Add1~11 = CARRY((!\uart0|Add1~9 ) # (!\uart0|rx_bps_cnt [5]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_bps_cnt [5]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~9 ),
|
|
.combout(\uart0|Add1~10_combout ),
|
|
.cout(\uart0|Add1~11 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~10 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|Add1~10 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N28
|
|
cycloneive_lcell_comb \uart0|rx_bps_cnt~1 (
|
|
// Equation(s):
|
|
// \uart0|rx_bps_cnt~1_combout = (\uart0|Add1~10_combout & \uart0|Equal1~0_combout )
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|Add1~10_combout ),
|
|
.datac(\uart0|Equal1~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_bps_cnt~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt~1 .lut_mask = 16'hC0C0;
|
|
defparam \uart0|rx_bps_cnt~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N29
|
|
dffeas \uart0|rx_bps_cnt[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_bps_cnt~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[5] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N18
|
|
cycloneive_lcell_comb \uart0|Add1~12 (
|
|
// Equation(s):
|
|
// \uart0|Add1~12_combout = (\uart0|rx_bps_cnt [6] & (\uart0|Add1~11 $ (GND))) # (!\uart0|rx_bps_cnt [6] & (!\uart0|Add1~11 & VCC))
|
|
// \uart0|Add1~13 = CARRY((\uart0|rx_bps_cnt [6] & !\uart0|Add1~11 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_bps_cnt [6]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~11 ),
|
|
.combout(\uart0|Add1~12_combout ),
|
|
.cout(\uart0|Add1~13 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~12 .lut_mask = 16'hC30C;
|
|
defparam \uart0|Add1~12 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N19
|
|
dffeas \uart0|rx_bps_cnt[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[6] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N20
|
|
cycloneive_lcell_comb \uart0|Add1~14 (
|
|
// Equation(s):
|
|
// \uart0|Add1~14_combout = (\uart0|rx_bps_cnt [7] & (!\uart0|Add1~13 )) # (!\uart0|rx_bps_cnt [7] & ((\uart0|Add1~13 ) # (GND)))
|
|
// \uart0|Add1~15 = CARRY((!\uart0|Add1~13 ) # (!\uart0|rx_bps_cnt [7]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_bps_cnt [7]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~13 ),
|
|
.combout(\uart0|Add1~14_combout ),
|
|
.cout(\uart0|Add1~15 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~14 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|Add1~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N21
|
|
dffeas \uart0|rx_bps_cnt[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[7] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N22
|
|
cycloneive_lcell_comb \uart0|Add1~16 (
|
|
// Equation(s):
|
|
// \uart0|Add1~16_combout = (\uart0|rx_bps_cnt [8] & (\uart0|Add1~15 $ (GND))) # (!\uart0|rx_bps_cnt [8] & (!\uart0|Add1~15 & VCC))
|
|
// \uart0|Add1~17 = CARRY((\uart0|rx_bps_cnt [8] & !\uart0|Add1~15 ))
|
|
|
|
.dataa(\uart0|rx_bps_cnt [8]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|Add1~15 ),
|
|
.combout(\uart0|Add1~16_combout ),
|
|
.cout(\uart0|Add1~17 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~16 .lut_mask = 16'hA50A;
|
|
defparam \uart0|Add1~16 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N23
|
|
dffeas \uart0|rx_bps_cnt[8] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[8] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N24
|
|
cycloneive_lcell_comb \uart0|Add1~18 (
|
|
// Equation(s):
|
|
// \uart0|Add1~18_combout = \uart0|Add1~17 $ (\uart0|rx_bps_cnt [9])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_bps_cnt [9]),
|
|
.cin(\uart0|Add1~17 ),
|
|
.combout(\uart0|Add1~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add1~18 .lut_mask = 16'h0FF0;
|
|
defparam \uart0|Add1~18 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N25
|
|
dffeas \uart0|rx_bps_cnt[9] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add1~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_bps_cnt [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_bps_cnt[9] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_bps_cnt[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N26
|
|
cycloneive_lcell_comb \uart0|Equal2~0 (
|
|
// Equation(s):
|
|
// \uart0|Equal2~0_combout = (!\uart0|rx_bps_cnt [7] & (!\uart0|rx_bps_cnt [6] & (!\uart0|rx_bps_cnt [8] & !\uart0|rx_bps_cnt [9])))
|
|
|
|
.dataa(\uart0|rx_bps_cnt [7]),
|
|
.datab(\uart0|rx_bps_cnt [6]),
|
|
.datac(\uart0|rx_bps_cnt [8]),
|
|
.datad(\uart0|rx_bps_cnt [9]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal2~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal2~0 .lut_mask = 16'h0001;
|
|
defparam \uart0|Equal2~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N2
|
|
cycloneive_lcell_comb \uart0|Equal2~1 (
|
|
// Equation(s):
|
|
// \uart0|Equal2~1_combout = (!\uart0|rx_bps_cnt [3] & (!\uart0|rx_bps_cnt [2] & (!\uart0|rx_bps_cnt [4] & \uart0|rx_bps_cnt [0])))
|
|
|
|
.dataa(\uart0|rx_bps_cnt [3]),
|
|
.datab(\uart0|rx_bps_cnt [2]),
|
|
.datac(\uart0|rx_bps_cnt [4]),
|
|
.datad(\uart0|rx_bps_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal2~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal2~1 .lut_mask = 16'h0100;
|
|
defparam \uart0|Equal2~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N30
|
|
cycloneive_lcell_comb \uart0|Equal1~0 (
|
|
// Equation(s):
|
|
// \uart0|Equal1~0_combout = (((!\uart0|rx_bps_cnt [5]) # (!\uart0|rx_bps_cnt [1])) # (!\uart0|Equal2~1_combout )) # (!\uart0|Equal2~0_combout )
|
|
|
|
.dataa(\uart0|Equal2~0_combout ),
|
|
.datab(\uart0|Equal2~1_combout ),
|
|
.datac(\uart0|rx_bps_cnt [1]),
|
|
.datad(\uart0|rx_bps_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal1~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal1~0 .lut_mask = 16'h7FFF;
|
|
defparam \uart0|Equal1~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N6
|
|
cycloneive_lcell_comb \uart0|always10~2 (
|
|
// Equation(s):
|
|
// \uart0|always10~2_combout = (!\uart0|rx_cnt [4] & !\uart0|rx_cnt [5])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|always10~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|always10~2 .lut_mask = 16'h000F;
|
|
defparam \uart0|always10~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N6
|
|
cycloneive_lcell_comb \uart0|rx_data_r[0][2]~44 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[0][2]~44_combout = (\uart0|rx_cnt [2]) # ((\uart0|rx_cnt [3]) # (\uart0|rx_cnt [1]))
|
|
|
|
.dataa(\uart0|rx_cnt [2]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [1]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[0][2]~44_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][2]~44 .lut_mask = 16'hFEFE;
|
|
defparam \uart0|rx_data_r[0][2]~44 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N16
|
|
cycloneive_lcell_comb \uart0|Selector29~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector29~0_combout = (\uart0|rx_data_r[0][2]~44_combout & (\rx~input_o $ (\uart0|rx_data_r[0][0]~q )))
|
|
|
|
.dataa(gnd),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[0][0]~q ),
|
|
.datad(\uart0|rx_data_r[0][2]~44_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector29~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector29~0 .lut_mask = 16'h3C00;
|
|
defparam \uart0|Selector29~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y16_N0
|
|
cycloneive_lcell_comb \uart0|Equal2~2 (
|
|
// Equation(s):
|
|
// \uart0|Equal2~2_combout = (\uart0|Equal2~0_combout & (\uart0|Equal2~1_combout & (!\uart0|rx_bps_cnt [1] & !\uart0|rx_bps_cnt [5])))
|
|
|
|
.dataa(\uart0|Equal2~0_combout ),
|
|
.datab(\uart0|Equal2~1_combout ),
|
|
.datac(\uart0|rx_bps_cnt [1]),
|
|
.datad(\uart0|rx_bps_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal2~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal2~2 .lut_mask = 16'h0008;
|
|
defparam \uart0|Equal2~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y16_N1
|
|
dffeas \uart0|rx_clk (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Equal2~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_clk~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_clk .is_wysiwyg = "true";
|
|
defparam \uart0|rx_clk .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N8
|
|
cycloneive_lcell_comb \uart0|rx_data_r[0][2]~45 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[0][2]~45_combout = (\uart0|rx_cnt [2] & (\uart0|rx_cnt [3])) # (!\uart0|rx_cnt [2] & ((\uart0|rx_cnt [1] & ((\uart0|rx_cnt [3]) # (!\uart0|rx_cnt [0]))) # (!\uart0|rx_cnt [1] & ((\uart0|rx_cnt [0])))))
|
|
|
|
.dataa(\uart0|rx_cnt [2]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [1]),
|
|
.datad(\uart0|rx_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[0][2]~45_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][2]~45 .lut_mask = 16'hCDD8;
|
|
defparam \uart0|rx_data_r[0][2]~45 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N4
|
|
cycloneive_lcell_comb \uart0|rx_data_r[0][2]~53 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[0][2]~53_combout = (\uart0|rx_clk~q & (!\uart0|rx_cnt [6] & (!\uart0|rx_data_r[0][2]~45_combout & \uart0|always10~2_combout )))
|
|
|
|
.dataa(\uart0|rx_clk~q ),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_data_r[0][2]~45_combout ),
|
|
.datad(\uart0|always10~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[0][2]~53_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][2]~53 .lut_mask = 16'h0200;
|
|
defparam \uart0|rx_data_r[0][2]~53 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y20_N17
|
|
dffeas \uart0|rx_data_r[0][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector29~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[0][2]~53_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[0][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[0][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N22
|
|
cycloneive_lcell_comb \uart0|Selector28~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector28~0_combout = (\uart0|rx_data_r[0][2]~44_combout & (\uart0|rx_data_r[0][1]~q $ (((\rx~input_o & \uart0|rx_data_r[0][0]~q )))))
|
|
|
|
.dataa(\uart0|rx_data_r[0][2]~44_combout ),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[0][1]~q ),
|
|
.datad(\uart0|rx_data_r[0][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector28~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector28~0 .lut_mask = 16'h28A0;
|
|
defparam \uart0|Selector28~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y20_N23
|
|
dffeas \uart0|rx_data_r[0][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector28~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[0][2]~53_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[0][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[0][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N20
|
|
cycloneive_lcell_comb \uart0|Add4~0 (
|
|
// Equation(s):
|
|
// \uart0|Add4~0_combout = \uart0|rx_data_r[0][2]~q $ (((\uart0|rx_data_r[0][1]~q & (\uart0|rx_data_r[0][0]~q & \rx~input_o ))))
|
|
|
|
.dataa(\uart0|rx_data_r[0][1]~q ),
|
|
.datab(\uart0|rx_data_r[0][0]~q ),
|
|
.datac(\rx~input_o ),
|
|
.datad(\uart0|rx_data_r[0][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add4~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add4~0 .lut_mask = 16'h7F80;
|
|
defparam \uart0|Add4~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N12
|
|
cycloneive_lcell_comb \uart0|Selector27~2 (
|
|
// Equation(s):
|
|
// \uart0|Selector27~2_combout = (\uart0|Add4~0_combout & ((\uart0|rx_cnt [2]) # ((\uart0|rx_cnt [3]) # (\uart0|rx_cnt [1]))))
|
|
|
|
.dataa(\uart0|rx_cnt [2]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [1]),
|
|
.datad(\uart0|Add4~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector27~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector27~2 .lut_mask = 16'hFE00;
|
|
defparam \uart0|Selector27~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y20_N13
|
|
dffeas \uart0|rx_data_r[0][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector27~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[0][2]~53_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[0][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[0][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N26
|
|
cycloneive_lcell_comb \uart0|always10~1 (
|
|
// Equation(s):
|
|
// \uart0|always10~1_combout = (\uart0|rx_cnt [3] & ((\uart0|rx_data_r[0][2]~q ) # ((\uart0|rx_data_r[0][1]~q & \uart0|rx_data_r[0][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_data_r[0][1]~q ),
|
|
.datab(\uart0|rx_data_r[0][0]~q ),
|
|
.datac(\uart0|rx_cnt [3]),
|
|
.datad(\uart0|rx_data_r[0][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|always10~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|always10~1 .lut_mask = 16'hF080;
|
|
defparam \uart0|always10~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N20
|
|
cycloneive_lcell_comb \uart0|always10~0 (
|
|
// Equation(s):
|
|
// \uart0|always10~0_combout = (!\uart0|rx_cnt [0] & (!\uart0|rx_cnt [2] & !\uart0|rx_cnt [1]))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [2]),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|always10~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|always10~0 .lut_mask = 16'h0011;
|
|
defparam \uart0|always10~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N28
|
|
cycloneive_lcell_comb \uart0|always10~3 (
|
|
// Equation(s):
|
|
// \uart0|always10~3_combout = (\uart0|always10~2_combout & (!\uart0|rx_cnt [6] & (\uart0|always10~1_combout & \uart0|always10~0_combout )))
|
|
|
|
.dataa(\uart0|always10~2_combout ),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|always10~1_combout ),
|
|
.datad(\uart0|always10~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|always10~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|always10~3 .lut_mask = 16'h2000;
|
|
defparam \uart0|always10~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N30
|
|
cycloneive_lcell_comb \uart0|rx_cnt[6]~11 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[6]~11_combout = (\uart0|rx_en~q & (((\uart0|Equal5~1_combout ) # (\uart0|always10~3_combout )) # (!\uart0|Equal1~0_combout )))
|
|
|
|
.dataa(\uart0|Equal1~0_combout ),
|
|
.datab(\uart0|Equal5~1_combout ),
|
|
.datac(\uart0|rx_en~q ),
|
|
.datad(\uart0|always10~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_cnt[6]~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[6]~11 .lut_mask = 16'hF0D0;
|
|
defparam \uart0|rx_cnt[6]~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N17
|
|
dffeas \uart0|rx_cnt[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[6]~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[6] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N16
|
|
cycloneive_lcell_comb \uart0|Equal5~1 (
|
|
// Equation(s):
|
|
// \uart0|Equal5~1_combout = (\uart0|Equal5~0_combout & (\uart0|rx_cnt [6] & (\uart0|rx_cnt [4] & \uart0|rx_cnt [5])))
|
|
|
|
.dataa(\uart0|Equal5~0_combout ),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal5~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal5~1 .lut_mask = 16'h8000;
|
|
defparam \uart0|Equal5~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N16
|
|
cycloneive_lcell_comb \uart0|always10~4 (
|
|
// Equation(s):
|
|
// \uart0|always10~4_combout = (\uart0|Equal5~1_combout ) # (\uart0|always10~3_combout )
|
|
|
|
.dataa(\uart0|Equal5~1_combout ),
|
|
.datab(gnd),
|
|
.datac(\uart0|always10~3_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|always10~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|always10~4 .lut_mask = 16'hFAFA;
|
|
defparam \uart0|always10~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N5
|
|
dffeas \uart0|rx_cnt[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[0]~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N6
|
|
cycloneive_lcell_comb \uart0|rx_cnt[1]~9 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[1]~9_combout = (\uart0|rx_cnt [1] & (!\uart0|rx_cnt[0]~8 )) # (!\uart0|rx_cnt [1] & ((\uart0|rx_cnt[0]~8 ) # (GND)))
|
|
// \uart0|rx_cnt[1]~10 = CARRY((!\uart0|rx_cnt[0]~8 ) # (!\uart0|rx_cnt [1]))
|
|
|
|
.dataa(\uart0|rx_cnt [1]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|rx_cnt[0]~8 ),
|
|
.combout(\uart0|rx_cnt[1]~9_combout ),
|
|
.cout(\uart0|rx_cnt[1]~10 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[1]~9 .lut_mask = 16'h5A5F;
|
|
defparam \uart0|rx_cnt[1]~9 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N7
|
|
dffeas \uart0|rx_cnt[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[1]~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N8
|
|
cycloneive_lcell_comb \uart0|rx_cnt[2]~12 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[2]~12_combout = (\uart0|rx_cnt [2] & (\uart0|rx_cnt[1]~10 $ (GND))) # (!\uart0|rx_cnt [2] & (!\uart0|rx_cnt[1]~10 & VCC))
|
|
// \uart0|rx_cnt[2]~13 = CARRY((\uart0|rx_cnt [2] & !\uart0|rx_cnt[1]~10 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|rx_cnt[1]~10 ),
|
|
.combout(\uart0|rx_cnt[2]~12_combout ),
|
|
.cout(\uart0|rx_cnt[2]~13 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[2]~12 .lut_mask = 16'hC30C;
|
|
defparam \uart0|rx_cnt[2]~12 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N9
|
|
dffeas \uart0|rx_cnt[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[2]~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N10
|
|
cycloneive_lcell_comb \uart0|rx_cnt[3]~14 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[3]~14_combout = (\uart0|rx_cnt [3] & (!\uart0|rx_cnt[2]~13 )) # (!\uart0|rx_cnt [3] & ((\uart0|rx_cnt[2]~13 ) # (GND)))
|
|
// \uart0|rx_cnt[3]~15 = CARRY((!\uart0|rx_cnt[2]~13 ) # (!\uart0|rx_cnt [3]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|rx_cnt[2]~13 ),
|
|
.combout(\uart0|rx_cnt[3]~14_combout ),
|
|
.cout(\uart0|rx_cnt[3]~15 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[3]~14 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|rx_cnt[3]~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N11
|
|
dffeas \uart0|rx_cnt[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[3]~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[3] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N12
|
|
cycloneive_lcell_comb \uart0|rx_cnt[4]~16 (
|
|
// Equation(s):
|
|
// \uart0|rx_cnt[4]~16_combout = (\uart0|rx_cnt [4] & (\uart0|rx_cnt[3]~15 $ (GND))) # (!\uart0|rx_cnt [4] & (!\uart0|rx_cnt[3]~15 & VCC))
|
|
// \uart0|rx_cnt[4]~17 = CARRY((\uart0|rx_cnt [4] & !\uart0|rx_cnt[3]~15 ))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|rx_cnt[3]~15 ),
|
|
.combout(\uart0|rx_cnt[4]~16_combout ),
|
|
.cout(\uart0|rx_cnt[4]~17 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[4]~16 .lut_mask = 16'hA50A;
|
|
defparam \uart0|rx_cnt[4]~16 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N13
|
|
dffeas \uart0|rx_cnt[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[4]~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[4] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y20_N15
|
|
dffeas \uart0|rx_cnt[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_cnt[5]~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|always10~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_cnt[6]~11_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_cnt [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_cnt[5] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_cnt[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N0
|
|
cycloneive_lcell_comb \uart0|rx_data_r[3][2]~32 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[3][2]~32_combout = (\uart0|rx_cnt [3] & (\uart0|rx_cnt [2] & ((\uart0|rx_cnt [0]) # (\uart0|rx_cnt [1])))) # (!\uart0|rx_cnt [3] & (((!\uart0|rx_cnt [1]) # (!\uart0|rx_cnt [2])) # (!\uart0|rx_cnt [0])))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[3][2]~32_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[3][2]~32 .lut_mask = 16'hD3B3;
|
|
defparam \uart0|rx_data_r[3][2]~32 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N10
|
|
cycloneive_lcell_comb \uart0|rx_data_r[0][2]~23 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[0][2]~23_combout = (!\uart0|rx_cnt [6] & \uart0|rx_clk~q )
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_clk~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[0][2]~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[0][2]~23 .lut_mask = 16'h3300;
|
|
defparam \uart0|rx_data_r[0][2]~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N0
|
|
cycloneive_lcell_comb \uart0|rx_data_r[3][2]~31 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[3][2]~31_combout = (\uart0|rx_data_r[0][2]~23_combout & ((\uart0|rx_cnt [5]) # ((\uart0|always10~0_combout & !\uart0|rx_cnt [3]))))
|
|
|
|
.dataa(\uart0|rx_data_r[0][2]~23_combout ),
|
|
.datab(\uart0|always10~0_combout ),
|
|
.datac(\uart0|rx_cnt [3]),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[3][2]~31_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[3][2]~31 .lut_mask = 16'hAA08;
|
|
defparam \uart0|rx_data_r[3][2]~31 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N14
|
|
cycloneive_lcell_comb \uart0|rx_data_r[3][2]~33 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[3][2]~33_combout = (!\uart0|rx_cnt [4] & (\uart0|rx_data_r[3][2]~31_combout & ((!\uart0|rx_cnt [5]) # (!\uart0|rx_data_r[3][2]~32_combout ))))
|
|
|
|
.dataa(\uart0|rx_data_r[3][2]~32_combout ),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_data_r[3][2]~31_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[3][2]~33_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[3][2]~33 .lut_mask = 16'h0700;
|
|
defparam \uart0|rx_data_r[3][2]~33 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y20_N13
|
|
dffeas \uart0|rx_data_r[3][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add7~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [5]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[3][2]~33_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[3][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[3][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[3][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N6
|
|
cycloneive_lcell_comb \uart0|Add7~2 (
|
|
// Equation(s):
|
|
// \uart0|Add7~2_combout = \uart0|rx_data_r[3][1]~q $ (((\rx~input_o & \uart0|rx_data_r[3][0]~q )))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[3][1]~q ),
|
|
.datad(\uart0|rx_data_r[3][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add7~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add7~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|Add7~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y20_N7
|
|
dffeas \uart0|rx_data_r[3][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add7~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [5]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[3][2]~33_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[3][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[3][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[3][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N8
|
|
cycloneive_lcell_comb \uart0|Add7~0 (
|
|
// Equation(s):
|
|
// \uart0|Add7~0_combout = \uart0|rx_data_r[3][2]~q $ (((\uart0|rx_data_r[3][1]~q & (\rx~input_o & \uart0|rx_data_r[3][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_data_r[3][1]~q ),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[3][2]~q ),
|
|
.datad(\uart0|rx_data_r[3][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add7~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add7~0 .lut_mask = 16'h78F0;
|
|
defparam \uart0|Add7~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y20_N9
|
|
dffeas \uart0|rx_data_r[3][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add7~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [5]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[3][2]~33_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[3][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[3][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[3][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N28
|
|
cycloneive_lcell_comb \uart0|Add13~1 (
|
|
// Equation(s):
|
|
// \uart0|Add13~1_combout = \uart0|rx_data_r[9][0]~q $ (\rx~input_o )
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[9][0]~q ),
|
|
.datad(\rx~input_o ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add13~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add13~1 .lut_mask = 16'h0FF0;
|
|
defparam \uart0|Add13~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N2
|
|
cycloneive_lcell_comb \uart0|rx_data_r[9][2]~47 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[9][2]~47_combout = (!\uart0|rx_cnt [6] & ((\uart0|rx_cnt [4]) # ((\uart0|rx_cnt [3]) # (!\uart0|always10~0_combout ))))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(\uart0|always10~0_combout ),
|
|
.datac(\uart0|rx_cnt [3]),
|
|
.datad(\uart0|rx_cnt [6]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[9][2]~47_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[9][2]~47 .lut_mask = 16'h00FB;
|
|
defparam \uart0|rx_data_r[9][2]~47 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N10
|
|
cycloneive_lcell_comb \uart0|rx_data_r[1][2]~27 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[1][2]~27_combout = (\uart0|rx_cnt [1] & \uart0|rx_cnt [0])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_cnt [1]),
|
|
.datad(\uart0|rx_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[1][2]~27_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][2]~27 .lut_mask = 16'hF000;
|
|
defparam \uart0|rx_data_r[1][2]~27 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N28
|
|
cycloneive_lcell_comb \uart0|rx_data_r[9][2]~28 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[9][2]~28_combout = (\uart0|rx_cnt [3] & (!\uart0|rx_cnt [4] & (\uart0|rx_cnt [2] & \uart0|rx_data_r[1][2]~27_combout ))) # (!\uart0|rx_cnt [3] & (\uart0|rx_cnt [4] & (!\uart0|rx_cnt [2])))
|
|
|
|
.dataa(\uart0|rx_cnt [3]),
|
|
.datab(\uart0|rx_cnt [4]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_data_r[1][2]~27_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[9][2]~28_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[9][2]~28 .lut_mask = 16'h2404;
|
|
defparam \uart0|rx_data_r[9][2]~28 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N4
|
|
cycloneive_lcell_comb \uart0|rx_data_r[8][2]~26 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[8][2]~26_combout = (\uart0|rx_clk~q & (\uart0|rx_cnt [5] $ (!\uart0|rx_cnt [6])))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_cnt [6]),
|
|
.datad(\uart0|rx_clk~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[8][2]~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][2]~26 .lut_mask = 16'hC300;
|
|
defparam \uart0|rx_data_r[8][2]~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N12
|
|
cycloneive_lcell_comb \uart0|rx_data_r[9][2]~29 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[9][2]~29_combout = (!\uart0|rx_data_r[9][2]~47_combout & (\uart0|rx_data_r[8][2]~26_combout & ((\uart0|rx_data_r[9][2]~28_combout ) # (!\uart0|rx_cnt [6]))))
|
|
|
|
.dataa(\uart0|rx_data_r[9][2]~47_combout ),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_data_r[9][2]~28_combout ),
|
|
.datad(\uart0|rx_data_r[8][2]~26_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[9][2]~29_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[9][2]~29 .lut_mask = 16'h5100;
|
|
defparam \uart0|rx_data_r[9][2]~29 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y20_N29
|
|
dffeas \uart0|rx_data_r[9][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add13~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[9][2]~29_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[9][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[9][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[9][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N6
|
|
cycloneive_lcell_comb \uart0|Add13~2 (
|
|
// Equation(s):
|
|
// \uart0|Add13~2_combout = \uart0|rx_data_r[9][1]~q $ (((\rx~input_o & \uart0|rx_data_r[9][0]~q )))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[9][1]~q ),
|
|
.datad(\uart0|rx_data_r[9][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add13~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add13~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|Add13~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y20_N7
|
|
dffeas \uart0|rx_data_r[9][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add13~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[9][2]~29_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[9][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[9][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[9][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N8
|
|
cycloneive_lcell_comb \uart0|Add13~0 (
|
|
// Equation(s):
|
|
// \uart0|Add13~0_combout = \uart0|rx_data_r[9][2]~q $ (((\rx~input_o & (\uart0|rx_data_r[9][0]~q & \uart0|rx_data_r[9][1]~q ))))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(\uart0|rx_data_r[9][0]~q ),
|
|
.datac(\uart0|rx_data_r[9][2]~q ),
|
|
.datad(\uart0|rx_data_r[9][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add13~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add13~0 .lut_mask = 16'h78F0;
|
|
defparam \uart0|Add13~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y20_N9
|
|
dffeas \uart0|rx_data_r[9][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add13~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[9][2]~29_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[9][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[9][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[9][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N2
|
|
cycloneive_lcell_comb \uart0|always15~0 (
|
|
// Equation(s):
|
|
// \uart0|always15~0_combout = (\uart0|Equal5~1_combout & \uart0|rx_data_r[9][2]~q )
|
|
|
|
.dataa(\uart0|Equal5~1_combout ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[9][2]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|always15~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|always15~0 .lut_mask = 16'hA0A0;
|
|
defparam \uart0|always15~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N13
|
|
dffeas \uart0|rx_data[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data_r[3][2]~q ),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N12
|
|
cycloneive_lcell_comb \uart0|Selector12~2 (
|
|
// Equation(s):
|
|
// \uart0|Selector12~2_combout = (\uart0|rx_cnt [6]) # (\uart0|rx_cnt [5])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_cnt [6]),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector12~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector12~2 .lut_mask = 16'hFFF0;
|
|
defparam \uart0|Selector12~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N0
|
|
cycloneive_lcell_comb \uart0|Selector14~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector14~0_combout = (\uart0|rx_cnt [6] & (\rx~input_o $ ((\uart0|rx_data_r[5][0]~q )))) # (!\uart0|rx_cnt [6] & (\uart0|rx_cnt [5] & (\rx~input_o $ (\uart0|rx_data_r[5][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_cnt [6]),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[5][0]~q ),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector14~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector14~0 .lut_mask = 16'h3C28;
|
|
defparam \uart0|Selector14~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N18
|
|
cycloneive_lcell_comb \uart0|rx_data_r[4][2]~35 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[4][2]~35_combout = \uart0|rx_cnt [4] $ (\uart0|rx_cnt [5])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[4][2]~35_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[4][2]~35 .lut_mask = 16'h0FF0;
|
|
defparam \uart0|rx_data_r[4][2]~35 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N28
|
|
cycloneive_lcell_comb \uart0|rx_data_r[5][2]~36 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[5][2]~36_combout = (\uart0|rx_cnt [6] & (((!\uart0|rx_cnt [0] & !\uart0|rx_cnt [1])) # (!\uart0|rx_cnt [2])))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[5][2]~36_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][2]~36 .lut_mask = 16'h0C4C;
|
|
defparam \uart0|rx_data_r[5][2]~36 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N12
|
|
cycloneive_lcell_comb \uart0|rx_data_r[7][2]~37 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[7][2]~37_combout = (!\uart0|rx_cnt [5] & \uart0|rx_clk~q )
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_clk~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[7][2]~37_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[7][2]~37 .lut_mask = 16'h3300;
|
|
defparam \uart0|rx_data_r[7][2]~37 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N24
|
|
cycloneive_lcell_comb \uart0|rx_data_r[5][2]~49 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[5][2]~49_combout = (!\uart0|rx_cnt [3] & (\uart0|rx_data_r[5][2]~36_combout & (!\uart0|rx_cnt [4] & \uart0|rx_data_r[7][2]~37_combout )))
|
|
|
|
.dataa(\uart0|rx_cnt [3]),
|
|
.datab(\uart0|rx_data_r[5][2]~36_combout ),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_data_r[7][2]~37_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[5][2]~49_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][2]~49 .lut_mask = 16'h0400;
|
|
defparam \uart0|rx_data_r[5][2]~49 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N30
|
|
cycloneive_lcell_comb \uart0|rx_data_r[5][2]~38 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[5][2]~38_combout = ((\uart0|rx_cnt [2] & (\uart0|rx_cnt [3] & \uart0|rx_data_r[1][2]~27_combout ))) # (!\uart0|rx_cnt [5])
|
|
|
|
.dataa(\uart0|rx_cnt [2]),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_cnt [3]),
|
|
.datad(\uart0|rx_data_r[1][2]~27_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[5][2]~38_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][2]~38 .lut_mask = 16'hB333;
|
|
defparam \uart0|rx_data_r[5][2]~38 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N8
|
|
cycloneive_lcell_comb \uart0|rx_data_r[5][2]~39 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[5][2]~39_combout = (\uart0|rx_data_r[5][2]~49_combout ) # ((!\uart0|rx_data_r[4][2]~35_combout & (\uart0|rx_data_r[5][2]~38_combout & \uart0|rx_data_r[3][2]~31_combout )))
|
|
|
|
.dataa(\uart0|rx_data_r[4][2]~35_combout ),
|
|
.datab(\uart0|rx_data_r[5][2]~49_combout ),
|
|
.datac(\uart0|rx_data_r[5][2]~38_combout ),
|
|
.datad(\uart0|rx_data_r[3][2]~31_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[5][2]~39_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][2]~39 .lut_mask = 16'hDCCC;
|
|
defparam \uart0|rx_data_r[5][2]~39 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y20_N1
|
|
dffeas \uart0|rx_data_r[5][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector14~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[5][2]~39_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[5][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[5][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N22
|
|
cycloneive_lcell_comb \uart0|Selector13~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector13~0_combout = (\uart0|Selector12~2_combout & (\uart0|rx_data_r[5][1]~q $ (((\rx~input_o & \uart0|rx_data_r[5][0]~q )))))
|
|
|
|
.dataa(\uart0|Selector12~2_combout ),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[5][1]~q ),
|
|
.datad(\uart0|rx_data_r[5][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector13~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector13~0 .lut_mask = 16'h28A0;
|
|
defparam \uart0|Selector13~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y20_N23
|
|
dffeas \uart0|rx_data_r[5][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector13~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[5][2]~39_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[5][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[5][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N10
|
|
cycloneive_lcell_comb \uart0|Add9~0 (
|
|
// Equation(s):
|
|
// \uart0|Add9~0_combout = \uart0|rx_data_r[5][2]~q $ (((\uart0|rx_data_r[5][1]~q & (\rx~input_o & \uart0|rx_data_r[5][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_data_r[5][1]~q ),
|
|
.datab(\uart0|rx_data_r[5][2]~q ),
|
|
.datac(\rx~input_o ),
|
|
.datad(\uart0|rx_data_r[5][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add9~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add9~0 .lut_mask = 16'h6CCC;
|
|
defparam \uart0|Add9~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N18
|
|
cycloneive_lcell_comb \uart0|Selector12~3 (
|
|
// Equation(s):
|
|
// \uart0|Selector12~3_combout = (\uart0|Add9~0_combout & ((\uart0|rx_cnt [5]) # (\uart0|rx_cnt [6])))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_cnt [6]),
|
|
.datad(\uart0|Add9~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector12~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector12~3 .lut_mask = 16'hFC00;
|
|
defparam \uart0|Selector12~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y20_N19
|
|
dffeas \uart0|rx_data_r[5][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector12~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[5][2]~39_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[5][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[5][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[5][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N0
|
|
cycloneive_lcell_comb \uart0|rx_data[4]~feeder (
|
|
// Equation(s):
|
|
// \uart0|rx_data[4]~feeder_combout = \uart0|rx_data_r[5][2]~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data_r[5][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data[4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|rx_data[4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N1
|
|
dffeas \uart0|rx_data[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_data[4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[4] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N24
|
|
cycloneive_lcell_comb \uart0|Add8~1 (
|
|
// Equation(s):
|
|
// \uart0|Add8~1_combout = \uart0|rx_data_r[4][0]~q $ (\rx~input_o )
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[4][0]~q ),
|
|
.datad(\rx~input_o ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add8~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add8~1 .lut_mask = 16'h0FF0;
|
|
defparam \uart0|Add8~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N2
|
|
cycloneive_lcell_comb \uart0|rx_data_r[4][2]~34 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[4][2]~34_combout = (\uart0|rx_cnt [3] & (!\uart0|rx_cnt [0] & (!\uart0|rx_cnt [2] & !\uart0|rx_cnt [1]))) # (!\uart0|rx_cnt [3] & ((\uart0|rx_cnt [2]) # ((\uart0|rx_cnt [0] & \uart0|rx_cnt [1]))))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[4][2]~34_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[4][2]~34 .lut_mask = 16'h3234;
|
|
defparam \uart0|rx_data_r[4][2]~34 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N0
|
|
cycloneive_lcell_comb \uart0|rx_data_r[4][2]~48 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[4][2]~48_combout = (\uart0|rx_data_r[3][2]~31_combout & ((\uart0|rx_cnt [5] & (\uart0|rx_cnt [4] & \uart0|rx_data_r[4][2]~34_combout )) # (!\uart0|rx_cnt [5] & (!\uart0|rx_cnt [4]))))
|
|
|
|
.dataa(\uart0|rx_data_r[3][2]~31_combout ),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_data_r[4][2]~34_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[4][2]~48_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[4][2]~48 .lut_mask = 16'h8202;
|
|
defparam \uart0|rx_data_r[4][2]~48 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y20_N25
|
|
dffeas \uart0|rx_data_r[4][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add8~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [5]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[4][2]~48_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[4][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[4][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[4][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N10
|
|
cycloneive_lcell_comb \uart0|Add8~2 (
|
|
// Equation(s):
|
|
// \uart0|Add8~2_combout = \uart0|rx_data_r[4][1]~q $ (((\rx~input_o & \uart0|rx_data_r[4][0]~q )))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[4][1]~q ),
|
|
.datad(\uart0|rx_data_r[4][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add8~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add8~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|Add8~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y20_N11
|
|
dffeas \uart0|rx_data_r[4][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add8~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [5]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[4][2]~48_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[4][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[4][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[4][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N2
|
|
cycloneive_lcell_comb \uart0|Add8~0 (
|
|
// Equation(s):
|
|
// \uart0|Add8~0_combout = \uart0|rx_data_r[4][2]~q $ (((\rx~input_o & (\uart0|rx_data_r[4][0]~q & \uart0|rx_data_r[4][1]~q ))))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(\uart0|rx_data_r[4][0]~q ),
|
|
.datac(\uart0|rx_data_r[4][2]~q ),
|
|
.datad(\uart0|rx_data_r[4][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add8~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add8~0 .lut_mask = 16'h78F0;
|
|
defparam \uart0|Add8~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y20_N3
|
|
dffeas \uart0|rx_data_r[4][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add8~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [5]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[4][2]~48_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[4][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[4][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[4][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N15
|
|
dffeas \uart0|rx_data[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data_r[4][2]~q ),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[3] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N24
|
|
cycloneive_lcell_comb \uart0|Selector23~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector23~0_combout = (\uart0|rx_cnt [4] & (\rx~input_o $ ((\uart0|rx_data_r[2][0]~q )))) # (!\uart0|rx_cnt [4] & (\uart0|rx_cnt [5] & (\rx~input_o $ (\uart0|rx_data_r[2][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[2][0]~q ),
|
|
.datad(\uart0|rx_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector23~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector23~0 .lut_mask = 16'h3C28;
|
|
defparam \uart0|Selector23~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y20_N4
|
|
cycloneive_lcell_comb \uart0|rx_data_r[8][2]~30 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[8][2]~30_combout = (!\uart0|rx_cnt [2] & ((!\uart0|rx_cnt [0]) # (!\uart0|rx_cnt [1])))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|rx_cnt [1]),
|
|
.datac(\uart0|rx_cnt [0]),
|
|
.datad(\uart0|rx_cnt [2]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[8][2]~30_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][2]~30 .lut_mask = 16'h003F;
|
|
defparam \uart0|rx_data_r[8][2]~30 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N14
|
|
cycloneive_lcell_comb \uart0|rx_data_r[2][2]~54 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[2][2]~54_combout = (\uart0|rx_data_r[0][2]~23_combout & ((\uart0|rx_cnt [3] & (!\uart0|rx_cnt [5] & \uart0|rx_cnt [4])) # (!\uart0|rx_cnt [3] & ((!\uart0|rx_cnt [4])))))
|
|
|
|
.dataa(\uart0|rx_cnt [3]),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_data_r[0][2]~23_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[2][2]~54_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[2][2]~54 .lut_mask = 16'h2500;
|
|
defparam \uart0|rx_data_r[2][2]~54 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N14
|
|
cycloneive_lcell_comb \uart0|rx_data_r[2][2]~55 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[2][2]~55_combout = (\uart0|rx_data_r[2][2]~54_combout & ((\uart0|rx_cnt [4] & ((!\uart0|rx_data_r[8][2]~30_combout ))) # (!\uart0|rx_cnt [4] & (\uart0|always10~0_combout ))))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(\uart0|always10~0_combout ),
|
|
.datac(\uart0|rx_data_r[8][2]~30_combout ),
|
|
.datad(\uart0|rx_data_r[2][2]~54_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[2][2]~55_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[2][2]~55 .lut_mask = 16'h4E00;
|
|
defparam \uart0|rx_data_r[2][2]~55 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y20_N25
|
|
dffeas \uart0|rx_data_r[2][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector23~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[2][2]~55_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[2][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[2][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[2][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N30
|
|
cycloneive_lcell_comb \uart0|Selector22~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector22~0_combout = (!\uart0|always10~2_combout & (\uart0|rx_data_r[2][1]~q $ (((\rx~input_o & \uart0|rx_data_r[2][0]~q )))))
|
|
|
|
.dataa(\uart0|always10~2_combout ),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[2][1]~q ),
|
|
.datad(\uart0|rx_data_r[2][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector22~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector22~0 .lut_mask = 16'h1450;
|
|
defparam \uart0|Selector22~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y20_N31
|
|
dffeas \uart0|rx_data_r[2][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector22~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[2][2]~55_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[2][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[2][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[2][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N18
|
|
cycloneive_lcell_comb \uart0|Add6~0 (
|
|
// Equation(s):
|
|
// \uart0|Add6~0_combout = \uart0|rx_data_r[2][2]~q $ (((\uart0|rx_data_r[2][1]~q & (\rx~input_o & \uart0|rx_data_r[2][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_data_r[2][1]~q ),
|
|
.datab(\uart0|rx_data_r[2][2]~q ),
|
|
.datac(\rx~input_o ),
|
|
.datad(\uart0|rx_data_r[2][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add6~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add6~0 .lut_mask = 16'h6CCC;
|
|
defparam \uart0|Add6~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y20_N0
|
|
cycloneive_lcell_comb \uart0|Selector21~2 (
|
|
// Equation(s):
|
|
// \uart0|Selector21~2_combout = (\uart0|Add6~0_combout & ((\uart0|rx_cnt [4]) # (\uart0|rx_cnt [5])))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_cnt [5]),
|
|
.datad(\uart0|Add6~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector21~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector21~2 .lut_mask = 16'hFA00;
|
|
defparam \uart0|Selector21~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y20_N1
|
|
dffeas \uart0|rx_data_r[2][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector21~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[2][2]~55_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[2][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[2][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[2][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N26
|
|
cycloneive_lcell_comb \uart0|rx_data[1]~feeder (
|
|
// Equation(s):
|
|
// \uart0|rx_data[1]~feeder_combout = \uart0|rx_data_r[2][2]~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data_r[2][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|rx_data[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N27
|
|
dffeas \uart0|rx_data[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_data[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N22
|
|
cycloneive_lcell_comb \always1~1 (
|
|
// Equation(s):
|
|
// \always1~1_combout = (!\uart0|rx_data [2] & (!\uart0|rx_data [4] & (\uart0|rx_data [3] & \uart0|rx_data [1])))
|
|
|
|
.dataa(\uart0|rx_data [2]),
|
|
.datab(\uart0|rx_data [4]),
|
|
.datac(\uart0|rx_data [3]),
|
|
.datad(\uart0|rx_data [1]),
|
|
.cin(gnd),
|
|
.combout(\always1~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \always1~1 .lut_mask = 16'h1000;
|
|
defparam \always1~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y21_N24
|
|
cycloneive_lcell_comb \uart0|Add11~1 (
|
|
// Equation(s):
|
|
// \uart0|Add11~1_combout = \rx~input_o $ (\uart0|rx_data_r[7][0]~q )
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[7][0]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add11~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add11~1 .lut_mask = 16'h5A5A;
|
|
defparam \uart0|Add11~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N20
|
|
cycloneive_lcell_comb \uart0|rx_data_r[7][2]~41 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[7][2]~41_combout = (\uart0|rx_data_r[7][2]~37_combout & ((\uart0|rx_cnt [4] & (!\uart0|rx_data_r[3][2]~32_combout & \uart0|rx_cnt [6])) # (!\uart0|rx_cnt [4] & ((!\uart0|rx_cnt [6])))))
|
|
|
|
.dataa(\uart0|rx_data_r[7][2]~37_combout ),
|
|
.datab(\uart0|rx_data_r[3][2]~32_combout ),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_cnt [6]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[7][2]~41_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[7][2]~41 .lut_mask = 16'h200A;
|
|
defparam \uart0|rx_data_r[7][2]~41 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N22
|
|
cycloneive_lcell_comb \uart0|rx_data_r[7][2]~42 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[7][2]~42_combout = (\uart0|rx_data_r[7][2]~41_combout & ((\uart0|rx_cnt [6]) # ((!\uart0|rx_cnt [3] & \uart0|always10~0_combout ))))
|
|
|
|
.dataa(\uart0|rx_data_r[7][2]~41_combout ),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_cnt [3]),
|
|
.datad(\uart0|always10~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[7][2]~42_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[7][2]~42 .lut_mask = 16'h8A88;
|
|
defparam \uart0|rx_data_r[7][2]~42 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y21_N25
|
|
dffeas \uart0|rx_data_r[7][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add11~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[7][2]~42_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[7][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[7][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[7][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y21_N10
|
|
cycloneive_lcell_comb \uart0|Add11~2 (
|
|
// Equation(s):
|
|
// \uart0|Add11~2_combout = \uart0|rx_data_r[7][1]~q $ (((\rx~input_o & \uart0|rx_data_r[7][0]~q )))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[7][1]~q ),
|
|
.datad(\uart0|rx_data_r[7][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add11~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add11~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|Add11~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y21_N11
|
|
dffeas \uart0|rx_data_r[7][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add11~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[7][2]~42_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[7][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[7][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[7][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y21_N18
|
|
cycloneive_lcell_comb \uart0|Add11~0 (
|
|
// Equation(s):
|
|
// \uart0|Add11~0_combout = \uart0|rx_data_r[7][2]~q $ (((\rx~input_o & (\uart0|rx_data_r[7][0]~q & \uart0|rx_data_r[7][1]~q ))))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(\uart0|rx_data_r[7][0]~q ),
|
|
.datac(\uart0|rx_data_r[7][2]~q ),
|
|
.datad(\uart0|rx_data_r[7][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add11~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add11~0 .lut_mask = 16'h78F0;
|
|
defparam \uart0|Add11~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y21_N19
|
|
dffeas \uart0|rx_data_r[7][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add11~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[7][2]~42_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[7][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[7][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[7][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N4
|
|
cycloneive_lcell_comb \uart0|rx_data[6]~feeder (
|
|
// Equation(s):
|
|
// \uart0|rx_data[6]~feeder_combout = \uart0|rx_data_r[7][2]~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data_r[7][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|rx_data[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N5
|
|
dffeas \uart0|rx_data[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_data[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[6] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y21_N12
|
|
cycloneive_lcell_comb \uart0|Add10~1 (
|
|
// Equation(s):
|
|
// \uart0|Add10~1_combout = \rx~input_o $ (\uart0|rx_data_r[6][0]~q )
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[6][0]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add10~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add10~1 .lut_mask = 16'h5A5A;
|
|
defparam \uart0|Add10~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N26
|
|
cycloneive_lcell_comb \uart0|rx_data_r[6][2]~50 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[6][2]~50_combout = (\uart0|rx_cnt [3] & (((\uart0|rx_cnt [6])))) # (!\uart0|rx_cnt [3] & (\uart0|always10~0_combout & ((\uart0|rx_cnt [6]) # (!\uart0|rx_cnt [4]))))
|
|
|
|
.dataa(\uart0|rx_cnt [3]),
|
|
.datab(\uart0|always10~0_combout ),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_cnt [6]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[6][2]~50_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[6][2]~50 .lut_mask = 16'hEE04;
|
|
defparam \uart0|rx_data_r[6][2]~50 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N2
|
|
cycloneive_lcell_comb \uart0|rx_data_r[6][2]~40 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[6][2]~40_combout = ((\uart0|rx_cnt [3] & (!\uart0|rx_cnt [4] & !\uart0|rx_data_r[8][2]~30_combout )) # (!\uart0|rx_cnt [3] & (\uart0|rx_cnt [4]))) # (!\uart0|rx_cnt [6])
|
|
|
|
.dataa(\uart0|rx_cnt [3]),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_data_r[8][2]~30_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[6][2]~40_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[6][2]~40 .lut_mask = 16'h737B;
|
|
defparam \uart0|rx_data_r[6][2]~40 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y20_N4
|
|
cycloneive_lcell_comb \uart0|rx_data_r[6][2]~51 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[6][2]~51_combout = (\uart0|rx_clk~q & (!\uart0|rx_cnt [5] & (\uart0|rx_data_r[6][2]~50_combout & \uart0|rx_data_r[6][2]~40_combout )))
|
|
|
|
.dataa(\uart0|rx_clk~q ),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_data_r[6][2]~50_combout ),
|
|
.datad(\uart0|rx_data_r[6][2]~40_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[6][2]~51_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[6][2]~51 .lut_mask = 16'h2000;
|
|
defparam \uart0|rx_data_r[6][2]~51 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y21_N13
|
|
dffeas \uart0|rx_data_r[6][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add10~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[6][2]~51_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[6][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[6][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[6][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y21_N6
|
|
cycloneive_lcell_comb \uart0|Add10~2 (
|
|
// Equation(s):
|
|
// \uart0|Add10~2_combout = \uart0|rx_data_r[6][1]~q $ (((\rx~input_o & \uart0|rx_data_r[6][0]~q )))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[6][1]~q ),
|
|
.datad(\uart0|rx_data_r[6][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add10~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add10~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|Add10~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y21_N7
|
|
dffeas \uart0|rx_data_r[6][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add10~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[6][2]~51_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[6][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[6][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[6][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y21_N8
|
|
cycloneive_lcell_comb \uart0|Add10~0 (
|
|
// Equation(s):
|
|
// \uart0|Add10~0_combout = \uart0|rx_data_r[6][2]~q $ (((\rx~input_o & (\uart0|rx_data_r[6][0]~q & \uart0|rx_data_r[6][1]~q ))))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(\uart0|rx_data_r[6][0]~q ),
|
|
.datac(\uart0|rx_data_r[6][2]~q ),
|
|
.datad(\uart0|rx_data_r[6][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add10~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add10~0 .lut_mask = 16'h78F0;
|
|
defparam \uart0|Add10~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y21_N9
|
|
dffeas \uart0|rx_data_r[6][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add10~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[6][2]~51_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[6][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[6][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[6][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N18
|
|
cycloneive_lcell_comb \uart0|rx_data[5]~feeder (
|
|
// Equation(s):
|
|
// \uart0|rx_data[5]~feeder_combout = \uart0|rx_data_r[6][2]~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data_r[6][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|rx_data[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N19
|
|
dffeas \uart0|rx_data[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_data[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[5] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N24
|
|
cycloneive_lcell_comb \uart0|Add12~1 (
|
|
// Equation(s):
|
|
// \uart0|Add12~1_combout = \uart0|rx_data_r[8][0]~q $ (\rx~input_o )
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[8][0]~q ),
|
|
.datad(\rx~input_o ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add12~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add12~1 .lut_mask = 16'h0FF0;
|
|
defparam \uart0|Add12~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N22
|
|
cycloneive_lcell_comb \uart0|rx_data_r[8][2]~43 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[8][2]~43_combout = (\uart0|rx_cnt [6] & ((\uart0|rx_cnt [2]) # ((\uart0|rx_cnt [0] & \uart0|rx_cnt [1])))) # (!\uart0|rx_cnt [6] & (!\uart0|rx_cnt [0] & (!\uart0|rx_cnt [2] & !\uart0|rx_cnt [1])))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [6]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[8][2]~43_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][2]~43 .lut_mask = 16'hC8C1;
|
|
defparam \uart0|rx_data_r[8][2]~43 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N14
|
|
cycloneive_lcell_comb \uart0|rx_data_r[8][2]~52 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[8][2]~52_combout = (!\uart0|rx_cnt [3] & (\uart0|rx_data_r[8][2]~43_combout & (!\uart0|rx_cnt [4] & \uart0|rx_data_r[8][2]~26_combout )))
|
|
|
|
.dataa(\uart0|rx_cnt [3]),
|
|
.datab(\uart0|rx_data_r[8][2]~43_combout ),
|
|
.datac(\uart0|rx_cnt [4]),
|
|
.datad(\uart0|rx_data_r[8][2]~26_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[8][2]~52_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][2]~52 .lut_mask = 16'h0400;
|
|
defparam \uart0|rx_data_r[8][2]~52 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y20_N25
|
|
dffeas \uart0|rx_data_r[8][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add12~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[8][2]~52_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[8][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[8][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N10
|
|
cycloneive_lcell_comb \uart0|Add12~2 (
|
|
// Equation(s):
|
|
// \uart0|Add12~2_combout = \uart0|rx_data_r[8][1]~q $ (((\rx~input_o & \uart0|rx_data_r[8][0]~q )))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_data_r[8][1]~q ),
|
|
.datad(\uart0|rx_data_r[8][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add12~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add12~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|Add12~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y20_N11
|
|
dffeas \uart0|rx_data_r[8][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add12~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[8][2]~52_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[8][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[8][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y20_N2
|
|
cycloneive_lcell_comb \uart0|Add12~0 (
|
|
// Equation(s):
|
|
// \uart0|Add12~0_combout = \uart0|rx_data_r[8][2]~q $ (((\rx~input_o & (\uart0|rx_data_r[8][0]~q & \uart0|rx_data_r[8][1]~q ))))
|
|
|
|
.dataa(\rx~input_o ),
|
|
.datab(\uart0|rx_data_r[8][0]~q ),
|
|
.datac(\uart0|rx_data_r[8][2]~q ),
|
|
.datad(\uart0|rx_data_r[8][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add12~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add12~0 .lut_mask = 16'h78F0;
|
|
defparam \uart0|Add12~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y20_N3
|
|
dffeas \uart0|rx_data_r[8][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Add12~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(!\uart0|rx_cnt [6]),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[8][2]~52_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[8][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[8][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N31
|
|
dffeas \uart0|rx_data[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data_r[8][2]~q ),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[7] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y20_N17
|
|
dffeas \uart0|rx_done (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Equal5~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_done~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_done .is_wysiwyg = "true";
|
|
defparam \uart0|rx_done .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N20
|
|
cycloneive_lcell_comb \always1~0 (
|
|
// Equation(s):
|
|
// \always1~0_combout = (!\uart0|rx_data [6] & (!\uart0|rx_data [5] & (!\uart0|rx_data [7] & \uart0|rx_done~q )))
|
|
|
|
.dataa(\uart0|rx_data [6]),
|
|
.datab(\uart0|rx_data [5]),
|
|
.datac(\uart0|rx_data [7]),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\always1~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \always1~0 .lut_mask = 16'h0100;
|
|
defparam \always1~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N6
|
|
cycloneive_lcell_comb \uart0|Selector26~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector26~0_combout = (\uart0|rx_cnt [4] & (\rx~input_o $ ((\uart0|rx_data_r[1][0]~q )))) # (!\uart0|rx_cnt [4] & (\uart0|rx_cnt [3] & (\rx~input_o $ (\uart0|rx_data_r[1][0]~q ))))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[1][0]~q ),
|
|
.datad(\uart0|rx_cnt [3]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector26~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector26~0 .lut_mask = 16'h3C28;
|
|
defparam \uart0|Selector26~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N18
|
|
cycloneive_lcell_comb \uart0|rx_data_r[1][2]~24 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[1][2]~24_combout = (\uart0|rx_cnt [0] & ((\uart0|rx_cnt [1]) # (!\uart0|rx_cnt [3]))) # (!\uart0|rx_cnt [0] & (!\uart0|rx_cnt [3] & \uart0|rx_cnt [1]))
|
|
|
|
.dataa(\uart0|rx_cnt [0]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[1][2]~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][2]~24 .lut_mask = 16'hBB22;
|
|
defparam \uart0|rx_data_r[1][2]~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y20_N26
|
|
cycloneive_lcell_comb \uart0|rx_data_r[1][2]~25 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[1][2]~25_combout = (\uart0|rx_cnt [4] & ((\uart0|rx_cnt [3]) # ((\uart0|rx_cnt [2] & \uart0|rx_data_r[1][2]~24_combout )))) # (!\uart0|rx_cnt [4] & ((\uart0|rx_cnt [3] & ((!\uart0|rx_data_r[1][2]~24_combout ) # (!\uart0|rx_cnt [2]))) #
|
|
// (!\uart0|rx_cnt [3] & ((\uart0|rx_cnt [2]) # (\uart0|rx_data_r[1][2]~24_combout )))))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(\uart0|rx_cnt [2]),
|
|
.datad(\uart0|rx_data_r[1][2]~24_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[1][2]~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][2]~25 .lut_mask = 16'hBDDC;
|
|
defparam \uart0|rx_data_r[1][2]~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N14
|
|
cycloneive_lcell_comb \uart0|rx_data_r[1][2]~46 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[1][2]~46_combout = (!\uart0|rx_cnt [6] & (!\uart0|rx_cnt [5] & (!\uart0|rx_data_r[1][2]~25_combout & \uart0|rx_clk~q )))
|
|
|
|
.dataa(\uart0|rx_cnt [6]),
|
|
.datab(\uart0|rx_cnt [5]),
|
|
.datac(\uart0|rx_data_r[1][2]~25_combout ),
|
|
.datad(\uart0|rx_clk~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[1][2]~46_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][2]~46 .lut_mask = 16'h0100;
|
|
defparam \uart0|rx_data_r[1][2]~46 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y20_N7
|
|
dffeas \uart0|rx_data_r[1][0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector26~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[1][2]~46_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[1][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[1][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N26
|
|
cycloneive_lcell_comb \uart0|rx_data_r[8][2]~22 (
|
|
// Equation(s):
|
|
// \uart0|rx_data_r[8][2]~22_combout = (!\uart0|rx_cnt [4] & !\uart0|rx_cnt [3])
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_cnt [3]),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data_r[8][2]~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[8][2]~22 .lut_mask = 16'h0055;
|
|
defparam \uart0|rx_data_r[8][2]~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N28
|
|
cycloneive_lcell_comb \uart0|Selector25~0 (
|
|
// Equation(s):
|
|
// \uart0|Selector25~0_combout = (!\uart0|rx_data_r[8][2]~22_combout & (\uart0|rx_data_r[1][1]~q $ (((\rx~input_o & \uart0|rx_data_r[1][0]~q )))))
|
|
|
|
.dataa(\uart0|rx_data_r[8][2]~22_combout ),
|
|
.datab(\rx~input_o ),
|
|
.datac(\uart0|rx_data_r[1][1]~q ),
|
|
.datad(\uart0|rx_data_r[1][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector25~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector25~0 .lut_mask = 16'h1450;
|
|
defparam \uart0|Selector25~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y20_N29
|
|
dffeas \uart0|rx_data_r[1][1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector25~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[1][2]~46_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[1][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][1] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[1][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N24
|
|
cycloneive_lcell_comb \uart0|Add5~0 (
|
|
// Equation(s):
|
|
// \uart0|Add5~0_combout = \uart0|rx_data_r[1][2]~q $ (((\uart0|rx_data_r[1][0]~q & (\rx~input_o & \uart0|rx_data_r[1][1]~q ))))
|
|
|
|
.dataa(\uart0|rx_data_r[1][0]~q ),
|
|
.datab(\uart0|rx_data_r[1][2]~q ),
|
|
.datac(\rx~input_o ),
|
|
.datad(\uart0|rx_data_r[1][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Add5~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Add5~0 .lut_mask = 16'h6CCC;
|
|
defparam \uart0|Add5~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y20_N8
|
|
cycloneive_lcell_comb \uart0|Selector24~2 (
|
|
// Equation(s):
|
|
// \uart0|Selector24~2_combout = (\uart0|Add5~0_combout & ((\uart0|rx_cnt [4]) # (\uart0|rx_cnt [3])))
|
|
|
|
.dataa(\uart0|rx_cnt [4]),
|
|
.datab(\uart0|rx_cnt [3]),
|
|
.datac(gnd),
|
|
.datad(\uart0|Add5~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Selector24~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Selector24~2 .lut_mask = 16'hEE00;
|
|
defparam \uart0|Selector24~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y20_N9
|
|
dffeas \uart0|rx_data_r[1][2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Selector24~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|rx_data_r[1][2]~46_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data_r[1][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data_r[1][2] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data_r[1][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N8
|
|
cycloneive_lcell_comb \uart0|rx_data[0]~feeder (
|
|
// Equation(s):
|
|
// \uart0|rx_data[0]~feeder_combout = \uart0|rx_data_r[1][2]~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data_r[1][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_data[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|rx_data[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N9
|
|
dffeas \uart0|rx_data[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_data[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|always15~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_data [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_data[0] .is_wysiwyg = "true";
|
|
defparam \uart0|rx_data[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y20_N24
|
|
cycloneive_lcell_comb \always1~2 (
|
|
// Equation(s):
|
|
// \always1~2_combout = (\always1~1_combout & (\always1~0_combout & !\uart0|rx_data [0]))
|
|
|
|
.dataa(\always1~1_combout ),
|
|
.datab(\always1~0_combout ),
|
|
.datac(\uart0|rx_data [0]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\always1~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \always1~2 .lut_mask = 16'h0808;
|
|
defparam \always1~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y20_N25
|
|
dffeas str_end(
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\always1~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\str_end~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam str_end.is_wysiwyg = "true";
|
|
defparam str_end.power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N26
|
|
cycloneive_lcell_comb \always5~0 (
|
|
// Equation(s):
|
|
// \always5~0_combout = (\str_end~q ) # (\Equal1~4_combout )
|
|
|
|
.dataa(gnd),
|
|
.datab(\str_end~q ),
|
|
.datac(gnd),
|
|
.datad(\Equal1~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\always5~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \always5~0 .lut_mask = 16'hFFCC;
|
|
defparam \always5~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N7
|
|
dffeas \rdaddress[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[0]~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[0] .is_wysiwyg = "true";
|
|
defparam \rdaddress[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N8
|
|
cycloneive_lcell_comb \rdaddress[1]~10 (
|
|
// Equation(s):
|
|
// \rdaddress[1]~10_combout = (rdaddress[1] & (!\rdaddress[0]~9 )) # (!rdaddress[1] & ((\rdaddress[0]~9 ) # (GND)))
|
|
// \rdaddress[1]~11 = CARRY((!\rdaddress[0]~9 ) # (!rdaddress[1]))
|
|
|
|
.dataa(gnd),
|
|
.datab(rdaddress[1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\rdaddress[0]~9 ),
|
|
.combout(\rdaddress[1]~10_combout ),
|
|
.cout(\rdaddress[1]~11 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[1]~10 .lut_mask = 16'h3C3F;
|
|
defparam \rdaddress[1]~10 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N9
|
|
dffeas \rdaddress[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[1]~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[1] .is_wysiwyg = "true";
|
|
defparam \rdaddress[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N10
|
|
cycloneive_lcell_comb \rdaddress[2]~12 (
|
|
// Equation(s):
|
|
// \rdaddress[2]~12_combout = (rdaddress[2] & (\rdaddress[1]~11 $ (GND))) # (!rdaddress[2] & (!\rdaddress[1]~11 & VCC))
|
|
// \rdaddress[2]~13 = CARRY((rdaddress[2] & !\rdaddress[1]~11 ))
|
|
|
|
.dataa(rdaddress[2]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\rdaddress[1]~11 ),
|
|
.combout(\rdaddress[2]~12_combout ),
|
|
.cout(\rdaddress[2]~13 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[2]~12 .lut_mask = 16'hA50A;
|
|
defparam \rdaddress[2]~12 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N11
|
|
dffeas \rdaddress[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[2]~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[2] .is_wysiwyg = "true";
|
|
defparam \rdaddress[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N12
|
|
cycloneive_lcell_comb \rdaddress[3]~14 (
|
|
// Equation(s):
|
|
// \rdaddress[3]~14_combout = (rdaddress[3] & (!\rdaddress[2]~13 )) # (!rdaddress[3] & ((\rdaddress[2]~13 ) # (GND)))
|
|
// \rdaddress[3]~15 = CARRY((!\rdaddress[2]~13 ) # (!rdaddress[3]))
|
|
|
|
.dataa(rdaddress[3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\rdaddress[2]~13 ),
|
|
.combout(\rdaddress[3]~14_combout ),
|
|
.cout(\rdaddress[3]~15 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[3]~14 .lut_mask = 16'h5A5F;
|
|
defparam \rdaddress[3]~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N13
|
|
dffeas \rdaddress[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[3]~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[3] .is_wysiwyg = "true";
|
|
defparam \rdaddress[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N12
|
|
cycloneive_lcell_comb \wraddress[0]~8 (
|
|
// Equation(s):
|
|
// \wraddress[0]~8_combout = (wraddress[0] & (\uart0|rx_done~q $ (VCC))) # (!wraddress[0] & (\uart0|rx_done~q & VCC))
|
|
// \wraddress[0]~9 = CARRY((wraddress[0] & \uart0|rx_done~q ))
|
|
|
|
.dataa(wraddress[0]),
|
|
.datab(\uart0|rx_done~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\wraddress[0]~8_combout ),
|
|
.cout(\wraddress[0]~9 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[0]~8 .lut_mask = 16'h6688;
|
|
defparam \wraddress[0]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N13
|
|
dffeas \wraddress[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[0]~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[0] .is_wysiwyg = "true";
|
|
defparam \wraddress[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N14
|
|
cycloneive_lcell_comb \wraddress[1]~10 (
|
|
// Equation(s):
|
|
// \wraddress[1]~10_combout = (wraddress[1] & (!\wraddress[0]~9 )) # (!wraddress[1] & ((\wraddress[0]~9 ) # (GND)))
|
|
// \wraddress[1]~11 = CARRY((!\wraddress[0]~9 ) # (!wraddress[1]))
|
|
|
|
.dataa(gnd),
|
|
.datab(wraddress[1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\wraddress[0]~9 ),
|
|
.combout(\wraddress[1]~10_combout ),
|
|
.cout(\wraddress[1]~11 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[1]~10 .lut_mask = 16'h3C3F;
|
|
defparam \wraddress[1]~10 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N15
|
|
dffeas \wraddress[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[1]~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[1] .is_wysiwyg = "true";
|
|
defparam \wraddress[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N16
|
|
cycloneive_lcell_comb \wraddress[2]~12 (
|
|
// Equation(s):
|
|
// \wraddress[2]~12_combout = (wraddress[2] & (\wraddress[1]~11 $ (GND))) # (!wraddress[2] & (!\wraddress[1]~11 & VCC))
|
|
// \wraddress[2]~13 = CARRY((wraddress[2] & !\wraddress[1]~11 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(wraddress[2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\wraddress[1]~11 ),
|
|
.combout(\wraddress[2]~12_combout ),
|
|
.cout(\wraddress[2]~13 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[2]~12 .lut_mask = 16'hC30C;
|
|
defparam \wraddress[2]~12 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N17
|
|
dffeas \wraddress[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[2]~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[2] .is_wysiwyg = "true";
|
|
defparam \wraddress[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N13
|
|
dffeas \endaddress[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[2]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[2] .is_wysiwyg = "true";
|
|
defparam \endaddress[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N18
|
|
cycloneive_lcell_comb \wraddress[3]~14 (
|
|
// Equation(s):
|
|
// \wraddress[3]~14_combout = (wraddress[3] & (!\wraddress[2]~13 )) # (!wraddress[3] & ((\wraddress[2]~13 ) # (GND)))
|
|
// \wraddress[3]~15 = CARRY((!\wraddress[2]~13 ) # (!wraddress[3]))
|
|
|
|
.dataa(gnd),
|
|
.datab(wraddress[3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\wraddress[2]~13 ),
|
|
.combout(\wraddress[3]~14_combout ),
|
|
.cout(\wraddress[3]~15 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[3]~14 .lut_mask = 16'h3C3F;
|
|
defparam \wraddress[3]~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N19
|
|
dffeas \wraddress[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[3]~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[3] .is_wysiwyg = "true";
|
|
defparam \wraddress[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N5
|
|
dffeas \endaddress[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[3]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[3] .is_wysiwyg = "true";
|
|
defparam \endaddress[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N12
|
|
cycloneive_lcell_comb \Equal1~1 (
|
|
// Equation(s):
|
|
// \Equal1~1_combout = (rdaddress[2] & (endaddress[2] & (rdaddress[3] $ (!endaddress[3])))) # (!rdaddress[2] & (!endaddress[2] & (rdaddress[3] $ (!endaddress[3]))))
|
|
|
|
.dataa(rdaddress[2]),
|
|
.datab(rdaddress[3]),
|
|
.datac(endaddress[2]),
|
|
.datad(endaddress[3]),
|
|
.cin(gnd),
|
|
.combout(\Equal1~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \Equal1~1 .lut_mask = 16'h8421;
|
|
defparam \Equal1~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N20
|
|
cycloneive_lcell_comb \wraddress[4]~16 (
|
|
// Equation(s):
|
|
// \wraddress[4]~16_combout = (wraddress[4] & (\wraddress[3]~15 $ (GND))) # (!wraddress[4] & (!\wraddress[3]~15 & VCC))
|
|
// \wraddress[4]~17 = CARRY((wraddress[4] & !\wraddress[3]~15 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(wraddress[4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\wraddress[3]~15 ),
|
|
.combout(\wraddress[4]~16_combout ),
|
|
.cout(\wraddress[4]~17 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[4]~16 .lut_mask = 16'hC30C;
|
|
defparam \wraddress[4]~16 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N21
|
|
dffeas \wraddress[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[4]~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[4] .is_wysiwyg = "true";
|
|
defparam \wraddress[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N22
|
|
cycloneive_lcell_comb \wraddress[5]~18 (
|
|
// Equation(s):
|
|
// \wraddress[5]~18_combout = (wraddress[5] & (!\wraddress[4]~17 )) # (!wraddress[5] & ((\wraddress[4]~17 ) # (GND)))
|
|
// \wraddress[5]~19 = CARRY((!\wraddress[4]~17 ) # (!wraddress[5]))
|
|
|
|
.dataa(wraddress[5]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\wraddress[4]~17 ),
|
|
.combout(\wraddress[5]~18_combout ),
|
|
.cout(\wraddress[5]~19 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[5]~18 .lut_mask = 16'h5A5F;
|
|
defparam \wraddress[5]~18 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N23
|
|
dffeas \wraddress[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[5]~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[5] .is_wysiwyg = "true";
|
|
defparam \wraddress[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N7
|
|
dffeas \endaddress[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[5]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[5] .is_wysiwyg = "true";
|
|
defparam \endaddress[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N14
|
|
cycloneive_lcell_comb \rdaddress[4]~16 (
|
|
// Equation(s):
|
|
// \rdaddress[4]~16_combout = (rdaddress[4] & (\rdaddress[3]~15 $ (GND))) # (!rdaddress[4] & (!\rdaddress[3]~15 & VCC))
|
|
// \rdaddress[4]~17 = CARRY((rdaddress[4] & !\rdaddress[3]~15 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(rdaddress[4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\rdaddress[3]~15 ),
|
|
.combout(\rdaddress[4]~16_combout ),
|
|
.cout(\rdaddress[4]~17 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[4]~16 .lut_mask = 16'hC30C;
|
|
defparam \rdaddress[4]~16 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N15
|
|
dffeas \rdaddress[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[4]~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[4] .is_wysiwyg = "true";
|
|
defparam \rdaddress[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N16
|
|
cycloneive_lcell_comb \rdaddress[5]~18 (
|
|
// Equation(s):
|
|
// \rdaddress[5]~18_combout = (rdaddress[5] & (!\rdaddress[4]~17 )) # (!rdaddress[5] & ((\rdaddress[4]~17 ) # (GND)))
|
|
// \rdaddress[5]~19 = CARRY((!\rdaddress[4]~17 ) # (!rdaddress[5]))
|
|
|
|
.dataa(gnd),
|
|
.datab(rdaddress[5]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\rdaddress[4]~17 ),
|
|
.combout(\rdaddress[5]~18_combout ),
|
|
.cout(\rdaddress[5]~19 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[5]~18 .lut_mask = 16'h3C3F;
|
|
defparam \rdaddress[5]~18 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N17
|
|
dffeas \rdaddress[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[5]~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[5] .is_wysiwyg = "true";
|
|
defparam \rdaddress[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N17
|
|
dffeas \endaddress[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[4]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[4] .is_wysiwyg = "true";
|
|
defparam \endaddress[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N16
|
|
cycloneive_lcell_comb \Equal1~2 (
|
|
// Equation(s):
|
|
// \Equal1~2_combout = (endaddress[5] & (rdaddress[5] & (endaddress[4] $ (!rdaddress[4])))) # (!endaddress[5] & (!rdaddress[5] & (endaddress[4] $ (!rdaddress[4]))))
|
|
|
|
.dataa(endaddress[5]),
|
|
.datab(rdaddress[5]),
|
|
.datac(endaddress[4]),
|
|
.datad(rdaddress[4]),
|
|
.cin(gnd),
|
|
.combout(\Equal1~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \Equal1~2 .lut_mask = 16'h9009;
|
|
defparam \Equal1~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N18
|
|
cycloneive_lcell_comb \rdaddress[6]~20 (
|
|
// Equation(s):
|
|
// \rdaddress[6]~20_combout = (rdaddress[6] & (\rdaddress[5]~19 $ (GND))) # (!rdaddress[6] & (!\rdaddress[5]~19 & VCC))
|
|
// \rdaddress[6]~21 = CARRY((rdaddress[6] & !\rdaddress[5]~19 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(rdaddress[6]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\rdaddress[5]~19 ),
|
|
.combout(\rdaddress[6]~20_combout ),
|
|
.cout(\rdaddress[6]~21 ));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[6]~20 .lut_mask = 16'hC30C;
|
|
defparam \rdaddress[6]~20 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N19
|
|
dffeas \rdaddress[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[6]~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[6] .is_wysiwyg = "true";
|
|
defparam \rdaddress[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N20
|
|
cycloneive_lcell_comb \rdaddress[7]~22 (
|
|
// Equation(s):
|
|
// \rdaddress[7]~22_combout = \rdaddress[6]~21 $ (rdaddress[7])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(rdaddress[7]),
|
|
.cin(\rdaddress[6]~21 ),
|
|
.combout(\rdaddress[7]~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \rdaddress[7]~22 .lut_mask = 16'h0FF0;
|
|
defparam \rdaddress[7]~22 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N21
|
|
dffeas \rdaddress[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\rdaddress[7]~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\always5~0_combout ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(rdaddress[7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \rdaddress[7] .is_wysiwyg = "true";
|
|
defparam \rdaddress[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N24
|
|
cycloneive_lcell_comb \wraddress[6]~20 (
|
|
// Equation(s):
|
|
// \wraddress[6]~20_combout = (wraddress[6] & (\wraddress[5]~19 $ (GND))) # (!wraddress[6] & (!\wraddress[5]~19 & VCC))
|
|
// \wraddress[6]~21 = CARRY((wraddress[6] & !\wraddress[5]~19 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(wraddress[6]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\wraddress[5]~19 ),
|
|
.combout(\wraddress[6]~20_combout ),
|
|
.cout(\wraddress[6]~21 ));
|
|
// synopsys translate_off
|
|
defparam \wraddress[6]~20 .lut_mask = 16'hC30C;
|
|
defparam \wraddress[6]~20 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N25
|
|
dffeas \wraddress[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[6]~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[6] .is_wysiwyg = "true";
|
|
defparam \wraddress[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N26
|
|
cycloneive_lcell_comb \wraddress[7]~22 (
|
|
// Equation(s):
|
|
// \wraddress[7]~22_combout = wraddress[7] $ (\wraddress[6]~21 )
|
|
|
|
.dataa(wraddress[7]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\wraddress[6]~21 ),
|
|
.combout(\wraddress[7]~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \wraddress[7]~22 .lut_mask = 16'h5A5A;
|
|
defparam \wraddress[7]~22 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N27
|
|
dffeas \wraddress[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\wraddress[7]~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\str_end~q ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(wraddress[7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \wraddress[7] .is_wysiwyg = "true";
|
|
defparam \wraddress[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N9
|
|
dffeas \endaddress[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[7]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[7] .is_wysiwyg = "true";
|
|
defparam \endaddress[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N3
|
|
dffeas \endaddress[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[6]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[6] .is_wysiwyg = "true";
|
|
defparam \endaddress[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N2
|
|
cycloneive_lcell_comb \Equal1~3 (
|
|
// Equation(s):
|
|
// \Equal1~3_combout = (rdaddress[7] & (endaddress[7] & (endaddress[6] $ (!rdaddress[6])))) # (!rdaddress[7] & (!endaddress[7] & (endaddress[6] $ (!rdaddress[6]))))
|
|
|
|
.dataa(rdaddress[7]),
|
|
.datab(endaddress[7]),
|
|
.datac(endaddress[6]),
|
|
.datad(rdaddress[6]),
|
|
.cin(gnd),
|
|
.combout(\Equal1~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \Equal1~3 .lut_mask = 16'h9009;
|
|
defparam \Equal1~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N11
|
|
dffeas \endaddress[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(wraddress[0]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[0] .is_wysiwyg = "true";
|
|
defparam \endaddress[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N28
|
|
cycloneive_lcell_comb \endaddress[1]~feeder (
|
|
// Equation(s):
|
|
// \endaddress[1]~feeder_combout = wraddress[1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(wraddress[1]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\endaddress[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \endaddress[1]~feeder .lut_mask = 16'hF0F0;
|
|
defparam \endaddress[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y11_N29
|
|
dffeas \endaddress[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\endaddress[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\str_end~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(endaddress[1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \endaddress[1] .is_wysiwyg = "true";
|
|
defparam \endaddress[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N10
|
|
cycloneive_lcell_comb \Equal1~0 (
|
|
// Equation(s):
|
|
// \Equal1~0_combout = (rdaddress[0] & (endaddress[0] & (rdaddress[1] $ (!endaddress[1])))) # (!rdaddress[0] & (!endaddress[0] & (rdaddress[1] $ (!endaddress[1]))))
|
|
|
|
.dataa(rdaddress[0]),
|
|
.datab(rdaddress[1]),
|
|
.datac(endaddress[0]),
|
|
.datad(endaddress[1]),
|
|
.cin(gnd),
|
|
.combout(\Equal1~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \Equal1~0 .lut_mask = 16'h8421;
|
|
defparam \Equal1~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N20
|
|
cycloneive_lcell_comb \Equal1~4 (
|
|
// Equation(s):
|
|
// \Equal1~4_combout = (\Equal1~1_combout & (\Equal1~2_combout & (\Equal1~3_combout & \Equal1~0_combout )))
|
|
|
|
.dataa(\Equal1~1_combout ),
|
|
.datab(\Equal1~2_combout ),
|
|
.datac(\Equal1~3_combout ),
|
|
.datad(\Equal1~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\Equal1~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \Equal1~4 .lut_mask = 16'h8000;
|
|
defparam \Equal1~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N28
|
|
cycloneive_lcell_comb \str_en~0 (
|
|
// Equation(s):
|
|
// \str_en~0_combout = (\always1~2_combout ) # ((!\Equal1~4_combout & \str_en~q ))
|
|
|
|
.dataa(\Equal1~4_combout ),
|
|
.datab(\always1~2_combout ),
|
|
.datac(\str_en~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\str_en~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \str_en~0 .lut_mask = 16'hDCDC;
|
|
defparam \str_en~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N8
|
|
cycloneive_lcell_comb \str_en~feeder (
|
|
// Equation(s):
|
|
// \str_en~feeder_combout = \str_en~0_combout
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\str_en~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\str_en~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \str_en~feeder .lut_mask = 16'hFF00;
|
|
defparam \str_en~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N9
|
|
dffeas str_en(
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\str_en~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\str_en~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam str_en.is_wysiwyg = "true";
|
|
defparam str_en.power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N6
|
|
cycloneive_lcell_comb \tx_done_r[0]~feeder (
|
|
// Equation(s):
|
|
// \tx_done_r[0]~feeder_combout = \uart0|tx_done~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|tx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\tx_done_r[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \tx_done_r[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \tx_done_r[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N7
|
|
dffeas \tx_done_r[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\tx_done_r[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_done_r[0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_done_r[0] .is_wysiwyg = "true";
|
|
defparam \tx_done_r[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N0
|
|
cycloneive_lcell_comb \tx_done_r[1]~feeder (
|
|
// Equation(s):
|
|
// \tx_done_r[1]~feeder_combout = tx_done_r[0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_done_r[0]),
|
|
.cin(gnd),
|
|
.combout(\tx_done_r[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \tx_done_r[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \tx_done_r[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N1
|
|
dffeas \tx_done_r[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\tx_done_r[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_done_r[1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_done_r[1] .is_wysiwyg = "true";
|
|
defparam \tx_done_r[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N12
|
|
cycloneive_lcell_comb \en~0 (
|
|
// Equation(s):
|
|
// \en~0_combout = (!\str_end~q & ((!tx_done_r[1]) # (!\str_en~q )))
|
|
|
|
.dataa(gnd),
|
|
.datab(\str_en~q ),
|
|
.datac(\str_end~q ),
|
|
.datad(tx_done_r[1]),
|
|
.cin(gnd),
|
|
.combout(\en~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \en~0 .lut_mask = 16'h030F;
|
|
defparam \en~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N13
|
|
dffeas en(
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\en~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\en~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam en.is_wysiwyg = "true";
|
|
defparam en.power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N30
|
|
cycloneive_lcell_comb \uart0|rx_en~0 (
|
|
// Equation(s):
|
|
// \uart0|rx_en~0_combout = (\uart0|rx_en~q ) # (!\en~q )
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_en~q ),
|
|
.datad(\en~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|rx_en~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_en~0 .lut_mask = 16'hF0FF;
|
|
defparam \uart0|rx_en~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N31
|
|
dffeas \uart0|rx_en (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|rx_en~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|rx_en~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_en .is_wysiwyg = "true";
|
|
defparam \uart0|rx_en .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y10_N12
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt_max[1]~0 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt_max[1]~0_combout = !\uart0|rx_en~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|rx_en~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_bps_cnt_max[1]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt_max[1]~0 .lut_mask = 16'h0F0F;
|
|
defparam \uart0|tx_bps_cnt_max[1]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y10_N13
|
|
dffeas \uart0|tx_bps_cnt_max[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt_max[1]~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt_max [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt_max[1] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt_max[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N0
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[0]~13 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[0]~13_combout = \uart0|tx_bps_cnt [0] $ (VCC)
|
|
// \uart0|tx_bps_cnt[0]~14 = CARRY(\uart0|tx_bps_cnt [0])
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_bps_cnt[0]~13_combout ),
|
|
.cout(\uart0|tx_bps_cnt[0]~14 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[0]~13 .lut_mask = 16'h33CC;
|
|
defparam \uart0|tx_bps_cnt[0]~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N1
|
|
dffeas \uart0|tx_bps_cnt[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[0]~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[0] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N2
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[1]~15 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[1]~15_combout = (\uart0|tx_bps_cnt [1] & (!\uart0|tx_bps_cnt[0]~14 )) # (!\uart0|tx_bps_cnt [1] & ((\uart0|tx_bps_cnt[0]~14 ) # (GND)))
|
|
// \uart0|tx_bps_cnt[1]~16 = CARRY((!\uart0|tx_bps_cnt[0]~14 ) # (!\uart0|tx_bps_cnt [1]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[0]~14 ),
|
|
.combout(\uart0|tx_bps_cnt[1]~15_combout ),
|
|
.cout(\uart0|tx_bps_cnt[1]~16 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[1]~15 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|tx_bps_cnt[1]~15 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N3
|
|
dffeas \uart0|tx_bps_cnt[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[1]~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[1] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N4
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[2]~17 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[2]~17_combout = (\uart0|tx_bps_cnt [2] & (\uart0|tx_bps_cnt[1]~16 $ (GND))) # (!\uart0|tx_bps_cnt [2] & (!\uart0|tx_bps_cnt[1]~16 & VCC))
|
|
// \uart0|tx_bps_cnt[2]~18 = CARRY((\uart0|tx_bps_cnt [2] & !\uart0|tx_bps_cnt[1]~16 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[1]~16 ),
|
|
.combout(\uart0|tx_bps_cnt[2]~17_combout ),
|
|
.cout(\uart0|tx_bps_cnt[2]~18 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[2]~17 .lut_mask = 16'hC30C;
|
|
defparam \uart0|tx_bps_cnt[2]~17 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N5
|
|
dffeas \uart0|tx_bps_cnt[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[2]~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[2] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N6
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[3]~19 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[3]~19_combout = (\uart0|tx_bps_cnt [3] & (!\uart0|tx_bps_cnt[2]~18 )) # (!\uart0|tx_bps_cnt [3] & ((\uart0|tx_bps_cnt[2]~18 ) # (GND)))
|
|
// \uart0|tx_bps_cnt[3]~20 = CARRY((!\uart0|tx_bps_cnt[2]~18 ) # (!\uart0|tx_bps_cnt [3]))
|
|
|
|
.dataa(\uart0|tx_bps_cnt [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[2]~18 ),
|
|
.combout(\uart0|tx_bps_cnt[3]~19_combout ),
|
|
.cout(\uart0|tx_bps_cnt[3]~20 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[3]~19 .lut_mask = 16'h5A5F;
|
|
defparam \uart0|tx_bps_cnt[3]~19 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N7
|
|
dffeas \uart0|tx_bps_cnt[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[3]~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[3] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N8
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[4]~21 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[4]~21_combout = (\uart0|tx_bps_cnt [4] & (\uart0|tx_bps_cnt[3]~20 $ (GND))) # (!\uart0|tx_bps_cnt [4] & (!\uart0|tx_bps_cnt[3]~20 & VCC))
|
|
// \uart0|tx_bps_cnt[4]~22 = CARRY((\uart0|tx_bps_cnt [4] & !\uart0|tx_bps_cnt[3]~20 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[3]~20 ),
|
|
.combout(\uart0|tx_bps_cnt[4]~21_combout ),
|
|
.cout(\uart0|tx_bps_cnt[4]~22 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[4]~21 .lut_mask = 16'hC30C;
|
|
defparam \uart0|tx_bps_cnt[4]~21 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N9
|
|
dffeas \uart0|tx_bps_cnt[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[4]~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[4] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N10
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[5]~23 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[5]~23_combout = (\uart0|tx_bps_cnt [5] & (!\uart0|tx_bps_cnt[4]~22 )) # (!\uart0|tx_bps_cnt [5] & ((\uart0|tx_bps_cnt[4]~22 ) # (GND)))
|
|
// \uart0|tx_bps_cnt[5]~24 = CARRY((!\uart0|tx_bps_cnt[4]~22 ) # (!\uart0|tx_bps_cnt [5]))
|
|
|
|
.dataa(\uart0|tx_bps_cnt [5]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[4]~22 ),
|
|
.combout(\uart0|tx_bps_cnt[5]~23_combout ),
|
|
.cout(\uart0|tx_bps_cnt[5]~24 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[5]~23 .lut_mask = 16'h5A5F;
|
|
defparam \uart0|tx_bps_cnt[5]~23 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N11
|
|
dffeas \uart0|tx_bps_cnt[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[5]~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[5] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N12
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[6]~25 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[6]~25_combout = (\uart0|tx_bps_cnt [6] & (\uart0|tx_bps_cnt[5]~24 $ (GND))) # (!\uart0|tx_bps_cnt [6] & (!\uart0|tx_bps_cnt[5]~24 & VCC))
|
|
// \uart0|tx_bps_cnt[6]~26 = CARRY((\uart0|tx_bps_cnt [6] & !\uart0|tx_bps_cnt[5]~24 ))
|
|
|
|
.dataa(\uart0|tx_bps_cnt [6]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[5]~24 ),
|
|
.combout(\uart0|tx_bps_cnt[6]~25_combout ),
|
|
.cout(\uart0|tx_bps_cnt[6]~26 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[6]~25 .lut_mask = 16'hA50A;
|
|
defparam \uart0|tx_bps_cnt[6]~25 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N13
|
|
dffeas \uart0|tx_bps_cnt[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[6]~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[6] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N14
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[7]~27 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[7]~27_combout = (\uart0|tx_bps_cnt [7] & (!\uart0|tx_bps_cnt[6]~26 )) # (!\uart0|tx_bps_cnt [7] & ((\uart0|tx_bps_cnt[6]~26 ) # (GND)))
|
|
// \uart0|tx_bps_cnt[7]~28 = CARRY((!\uart0|tx_bps_cnt[6]~26 ) # (!\uart0|tx_bps_cnt [7]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [7]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[6]~26 ),
|
|
.combout(\uart0|tx_bps_cnt[7]~27_combout ),
|
|
.cout(\uart0|tx_bps_cnt[7]~28 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[7]~27 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|tx_bps_cnt[7]~27 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N15
|
|
dffeas \uart0|tx_bps_cnt[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[7]~27_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[7] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N16
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[8]~29 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[8]~29_combout = (\uart0|tx_bps_cnt [8] & (\uart0|tx_bps_cnt[7]~28 $ (GND))) # (!\uart0|tx_bps_cnt [8] & (!\uart0|tx_bps_cnt[7]~28 & VCC))
|
|
// \uart0|tx_bps_cnt[8]~30 = CARRY((\uart0|tx_bps_cnt [8] & !\uart0|tx_bps_cnt[7]~28 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [8]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[7]~28 ),
|
|
.combout(\uart0|tx_bps_cnt[8]~29_combout ),
|
|
.cout(\uart0|tx_bps_cnt[8]~30 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[8]~29 .lut_mask = 16'hC30C;
|
|
defparam \uart0|tx_bps_cnt[8]~29 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N17
|
|
dffeas \uart0|tx_bps_cnt[8] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[8]~29_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[8] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y10_N6
|
|
cycloneive_lcell_comb \uart0|Equal0~1 (
|
|
// Equation(s):
|
|
// \uart0|Equal0~1_combout = (\uart0|tx_bps_cnt_max [1] & (!\uart0|tx_bps_cnt [7] & (\uart0|tx_bps_cnt [6] & !\uart0|tx_bps_cnt [8]))) # (!\uart0|tx_bps_cnt_max [1] & (\uart0|tx_bps_cnt [7] & (!\uart0|tx_bps_cnt [6] & \uart0|tx_bps_cnt [8])))
|
|
|
|
.dataa(\uart0|tx_bps_cnt_max [1]),
|
|
.datab(\uart0|tx_bps_cnt [7]),
|
|
.datac(\uart0|tx_bps_cnt [6]),
|
|
.datad(\uart0|tx_bps_cnt [8]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal0~1 .lut_mask = 16'h0420;
|
|
defparam \uart0|Equal0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N18
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[9]~31 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[9]~31_combout = (\uart0|tx_bps_cnt [9] & (!\uart0|tx_bps_cnt[8]~30 )) # (!\uart0|tx_bps_cnt [9] & ((\uart0|tx_bps_cnt[8]~30 ) # (GND)))
|
|
// \uart0|tx_bps_cnt[9]~32 = CARRY((!\uart0|tx_bps_cnt[8]~30 ) # (!\uart0|tx_bps_cnt [9]))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [9]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[8]~30 ),
|
|
.combout(\uart0|tx_bps_cnt[9]~31_combout ),
|
|
.cout(\uart0|tx_bps_cnt[9]~32 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[9]~31 .lut_mask = 16'h3C3F;
|
|
defparam \uart0|tx_bps_cnt[9]~31 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N19
|
|
dffeas \uart0|tx_bps_cnt[9] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[9]~31_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[9] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N20
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[10]~33 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[10]~33_combout = (\uart0|tx_bps_cnt [10] & (\uart0|tx_bps_cnt[9]~32 $ (GND))) # (!\uart0|tx_bps_cnt [10] & (!\uart0|tx_bps_cnt[9]~32 & VCC))
|
|
// \uart0|tx_bps_cnt[10]~34 = CARRY((\uart0|tx_bps_cnt [10] & !\uart0|tx_bps_cnt[9]~32 ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_bps_cnt [10]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[9]~32 ),
|
|
.combout(\uart0|tx_bps_cnt[10]~33_combout ),
|
|
.cout(\uart0|tx_bps_cnt[10]~34 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[10]~33 .lut_mask = 16'hC30C;
|
|
defparam \uart0|tx_bps_cnt[10]~33 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N21
|
|
dffeas \uart0|tx_bps_cnt[10] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[10]~33_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[10] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N22
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[11]~35 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[11]~35_combout = (\uart0|tx_bps_cnt [11] & (!\uart0|tx_bps_cnt[10]~34 )) # (!\uart0|tx_bps_cnt [11] & ((\uart0|tx_bps_cnt[10]~34 ) # (GND)))
|
|
// \uart0|tx_bps_cnt[11]~36 = CARRY((!\uart0|tx_bps_cnt[10]~34 ) # (!\uart0|tx_bps_cnt [11]))
|
|
|
|
.dataa(\uart0|tx_bps_cnt [11]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\uart0|tx_bps_cnt[10]~34 ),
|
|
.combout(\uart0|tx_bps_cnt[11]~35_combout ),
|
|
.cout(\uart0|tx_bps_cnt[11]~36 ));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[11]~35 .lut_mask = 16'h5A5F;
|
|
defparam \uart0|tx_bps_cnt[11]~35 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N23
|
|
dffeas \uart0|tx_bps_cnt[11] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[11]~35_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[11] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N30
|
|
cycloneive_lcell_comb \uart0|Equal0~3 (
|
|
// Equation(s):
|
|
// \uart0|Equal0~3_combout = (!\uart0|tx_bps_cnt [11] & (!\uart0|tx_bps_cnt [9] & (\uart0|tx_bps_cnt [4] & !\uart0|tx_bps_cnt [3])))
|
|
|
|
.dataa(\uart0|tx_bps_cnt [11]),
|
|
.datab(\uart0|tx_bps_cnt [9]),
|
|
.datac(\uart0|tx_bps_cnt [4]),
|
|
.datad(\uart0|tx_bps_cnt [3]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal0~3 .lut_mask = 16'h0010;
|
|
defparam \uart0|Equal0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N24
|
|
cycloneive_lcell_comb \uart0|tx_bps_cnt[12]~37 (
|
|
// Equation(s):
|
|
// \uart0|tx_bps_cnt[12]~37_combout = \uart0|tx_bps_cnt[11]~36 $ (!\uart0|tx_bps_cnt [12])
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|tx_bps_cnt [12]),
|
|
.cin(\uart0|tx_bps_cnt[11]~36 ),
|
|
.combout(\uart0|tx_bps_cnt[12]~37_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[12]~37 .lut_mask = 16'hF00F;
|
|
defparam \uart0|tx_bps_cnt[12]~37 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y10_N25
|
|
dffeas \uart0|tx_bps_cnt[12] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_bps_cnt[12]~37_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(\uart0|Equal0~4_combout ),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_bps_cnt [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_bps_cnt[12] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_bps_cnt[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N28
|
|
cycloneive_lcell_comb \uart0|Equal0~2 (
|
|
// Equation(s):
|
|
// \uart0|Equal0~2_combout = (\uart0|tx_bps_cnt [0] & ((\uart0|tx_bps_cnt_max [1] & (\uart0|tx_bps_cnt [10] & \uart0|tx_bps_cnt [12])) # (!\uart0|tx_bps_cnt_max [1] & (!\uart0|tx_bps_cnt [10] & !\uart0|tx_bps_cnt [12]))))
|
|
|
|
.dataa(\uart0|tx_bps_cnt_max [1]),
|
|
.datab(\uart0|tx_bps_cnt [10]),
|
|
.datac(\uart0|tx_bps_cnt [12]),
|
|
.datad(\uart0|tx_bps_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal0~2 .lut_mask = 16'h8100;
|
|
defparam \uart0|Equal0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y10_N26
|
|
cycloneive_lcell_comb \uart0|Equal0~0 (
|
|
// Equation(s):
|
|
// \uart0|Equal0~0_combout = (\uart0|tx_bps_cnt_max [1] & (\uart0|tx_bps_cnt [1] & (\uart0|tx_bps_cnt [2] & !\uart0|tx_bps_cnt [5]))) # (!\uart0|tx_bps_cnt_max [1] & (!\uart0|tx_bps_cnt [1] & (!\uart0|tx_bps_cnt [2] & \uart0|tx_bps_cnt [5])))
|
|
|
|
.dataa(\uart0|tx_bps_cnt_max [1]),
|
|
.datab(\uart0|tx_bps_cnt [1]),
|
|
.datac(\uart0|tx_bps_cnt [2]),
|
|
.datad(\uart0|tx_bps_cnt [5]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal0~0 .lut_mask = 16'h0180;
|
|
defparam \uart0|Equal0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y10_N0
|
|
cycloneive_lcell_comb \uart0|Equal0~4 (
|
|
// Equation(s):
|
|
// \uart0|Equal0~4_combout = (\uart0|Equal0~1_combout & (\uart0|Equal0~3_combout & (\uart0|Equal0~2_combout & \uart0|Equal0~0_combout )))
|
|
|
|
.dataa(\uart0|Equal0~1_combout ),
|
|
.datab(\uart0|Equal0~3_combout ),
|
|
.datac(\uart0|Equal0~2_combout ),
|
|
.datad(\uart0|Equal0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal0~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal0~4 .lut_mask = 16'h8000;
|
|
defparam \uart0|Equal0~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N28
|
|
cycloneive_lcell_comb \uart0|tx_cnt[0]~4 (
|
|
// Equation(s):
|
|
// \uart0|tx_cnt[0]~4_combout = \uart0|tx_cnt [0] $ (((\uart0|tx_en~q & ((\uart0|Equal0~4_combout ) # (\uart0|Equal4~0_combout )))))
|
|
|
|
.dataa(\uart0|tx_en~q ),
|
|
.datab(\uart0|Equal0~4_combout ),
|
|
.datac(\uart0|tx_cnt [0]),
|
|
.datad(\uart0|Equal4~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_cnt[0]~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[0]~4 .lut_mask = 16'h5A78;
|
|
defparam \uart0|tx_cnt[0]~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N29
|
|
dffeas \uart0|tx_cnt[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_cnt[0]~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_cnt [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[0] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_cnt[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N12
|
|
cycloneive_lcell_comb \uart0|tx_cnt[1]~2 (
|
|
// Equation(s):
|
|
// \uart0|tx_cnt[1]~2_combout = \uart0|tx_cnt [1] $ (((\uart0|tx_cnt[3]~0_combout & \uart0|tx_cnt [0])))
|
|
|
|
.dataa(\uart0|tx_cnt[3]~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\uart0|tx_cnt [1]),
|
|
.datad(\uart0|tx_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_cnt[1]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[1]~2 .lut_mask = 16'h5AF0;
|
|
defparam \uart0|tx_cnt[1]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N13
|
|
dffeas \uart0|tx_cnt[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_cnt[1]~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_cnt [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[1] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_cnt[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N8
|
|
cycloneive_lcell_comb \uart0|Equal4~1 (
|
|
// Equation(s):
|
|
// \uart0|Equal4~1_combout = (\uart0|tx_cnt [0] & \uart0|tx_cnt [1])
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_cnt [0]),
|
|
.datac(gnd),
|
|
.datad(\uart0|tx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal4~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal4~1 .lut_mask = 16'hCC00;
|
|
defparam \uart0|Equal4~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N14
|
|
cycloneive_lcell_comb \uart0|tx_cnt[2]~3 (
|
|
// Equation(s):
|
|
// \uart0|tx_cnt[2]~3_combout = (\uart0|tx_cnt[3]~0_combout & (!\uart0|Equal4~0_combout & (\uart0|Equal4~1_combout $ (\uart0|tx_cnt [2])))) # (!\uart0|tx_cnt[3]~0_combout & (((\uart0|tx_cnt [2]))))
|
|
|
|
.dataa(\uart0|tx_cnt[3]~0_combout ),
|
|
.datab(\uart0|Equal4~1_combout ),
|
|
.datac(\uart0|tx_cnt [2]),
|
|
.datad(\uart0|Equal4~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_cnt[2]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[2]~3 .lut_mask = 16'h5078;
|
|
defparam \uart0|tx_cnt[2]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N15
|
|
dffeas \uart0|tx_cnt[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_cnt[2]~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_cnt [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[2] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_cnt[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N0
|
|
cycloneive_lcell_comb \uart0|Equal4~0 (
|
|
// Equation(s):
|
|
// \uart0|Equal4~0_combout = (\uart0|tx_cnt [3] & (\uart0|tx_cnt [0] & (!\uart0|tx_cnt [2] & \uart0|tx_cnt [1])))
|
|
|
|
.dataa(\uart0|tx_cnt [3]),
|
|
.datab(\uart0|tx_cnt [0]),
|
|
.datac(\uart0|tx_cnt [2]),
|
|
.datad(\uart0|tx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Equal4~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Equal4~0 .lut_mask = 16'h0800;
|
|
defparam \uart0|Equal4~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N1
|
|
dffeas \uart0|tx_done (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|Equal4~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_done~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_done .is_wysiwyg = "true";
|
|
defparam \uart0|tx_done .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N26
|
|
cycloneive_lcell_comb \uart0|tx_en~0 (
|
|
// Equation(s):
|
|
// \uart0|tx_en~0_combout = ((!\uart0|tx_done~q & \uart0|tx_en~q )) # (!\en~q )
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_done~q ),
|
|
.datac(\uart0|tx_en~q ),
|
|
.datad(\en~q ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_en~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_en~0 .lut_mask = 16'h30FF;
|
|
defparam \uart0|tx_en~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N27
|
|
dffeas \uart0|tx_en (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_en~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_en~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_en .is_wysiwyg = "true";
|
|
defparam \uart0|tx_en .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N26
|
|
cycloneive_lcell_comb \uart0|tx_cnt[3]~0 (
|
|
// Equation(s):
|
|
// \uart0|tx_cnt[3]~0_combout = (\uart0|tx_en~q & ((\uart0|Equal0~4_combout ) # (\uart0|Equal4~0_combout )))
|
|
|
|
.dataa(\uart0|tx_en~q ),
|
|
.datab(\uart0|Equal0~4_combout ),
|
|
.datac(gnd),
|
|
.datad(\uart0|Equal4~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_cnt[3]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[3]~0 .lut_mask = 16'hAA88;
|
|
defparam \uart0|tx_cnt[3]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N10
|
|
cycloneive_lcell_comb \uart0|tx_cnt[3]~1 (
|
|
// Equation(s):
|
|
// \uart0|tx_cnt[3]~1_combout = (\uart0|tx_cnt[3]~0_combout & ((\uart0|tx_cnt [3] & ((!\uart0|Equal4~1_combout ))) # (!\uart0|tx_cnt [3] & (\uart0|tx_cnt [2] & \uart0|Equal4~1_combout )))) # (!\uart0|tx_cnt[3]~0_combout & (((\uart0|tx_cnt [3]))))
|
|
|
|
.dataa(\uart0|tx_cnt[3]~0_combout ),
|
|
.datab(\uart0|tx_cnt [2]),
|
|
.datac(\uart0|tx_cnt [3]),
|
|
.datad(\uart0|Equal4~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_cnt[3]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[3]~1 .lut_mask = 16'h58F0;
|
|
defparam \uart0|tx_cnt[3]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N11
|
|
dffeas \uart0|tx_cnt[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_cnt[3]~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_cnt [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_cnt[3] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_cnt[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOIBUF_X0_Y13_N22
|
|
cycloneive_io_ibuf \altera_reserved_tms~input (
|
|
.i(altera_reserved_tms),
|
|
.ibar(gnd),
|
|
.o(\altera_reserved_tms~input_o ));
|
|
// synopsys translate_off
|
|
defparam \altera_reserved_tms~input .bus_hold = "false";
|
|
defparam \altera_reserved_tms~input .simulate_z_as = "z";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOIBUF_X0_Y14_N22
|
|
cycloneive_io_ibuf \altera_reserved_tck~input (
|
|
.i(altera_reserved_tck),
|
|
.ibar(gnd),
|
|
.o(\altera_reserved_tck~input_o ));
|
|
// synopsys translate_off
|
|
defparam \altera_reserved_tck~input .bus_hold = "false";
|
|
defparam \altera_reserved_tck~input .simulate_z_as = "z";
|
|
// synopsys translate_on
|
|
|
|
// Location: IOIBUF_X0_Y14_N15
|
|
cycloneive_io_ibuf \altera_reserved_tdi~input (
|
|
.i(altera_reserved_tdi),
|
|
.ibar(gnd),
|
|
.o(\altera_reserved_tdi~input_o ));
|
|
// synopsys translate_off
|
|
defparam \altera_reserved_tdi~input .bus_hold = "false";
|
|
defparam \altera_reserved_tdi~input .simulate_z_as = "z";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~7 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~7_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~8 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~7 .lut_mask = 16'h33CC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~17 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~17 .lut_mask = 16'hFFFE;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: JTAG_X1_Y12_N0
|
|
cycloneive_jtag altera_internal_jtag(
|
|
.tms(\altera_reserved_tms~input_o ),
|
|
.tck(\altera_reserved_tck~input_o ),
|
|
.tdi(\altera_reserved_tdi~input_o ),
|
|
.tdoutap(gnd),
|
|
.tdouser(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo~q ),
|
|
.tdo(\altera_internal_jtag~TDO ),
|
|
.tmsutap(\altera_internal_jtag~TMSUTAP ),
|
|
.tckutap(\altera_internal_jtag~TCKUTAP ),
|
|
.tdiutap(\altera_internal_jtag~TDIUTAP ),
|
|
.shiftuser(),
|
|
.clkdruser(),
|
|
.updateuser(),
|
|
.runidleuser(),
|
|
.usr1user());
|
|
|
|
// Location: LCCOMB_X16_Y18_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~1 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [0]),
|
|
.datad(\altera_internal_jtag~TMSUTAP ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~1 .lut_mask = 16'h0F00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y18_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y18_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~2 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~2 .lut_mask = 16'h0FF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y18_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(!\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y18_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [1]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~0 .lut_mask = 16'h5AF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y18_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(!\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~9 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\altera_internal_jtag~TMSUTAP ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~9 .lut_mask = 16'h0F00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y18_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[10] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~12 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [13]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~12 .lut_mask = 16'hFFF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y18_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[13] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~13 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [13]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~13 .lut_mask = 16'hA0A0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y18_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[14] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [10]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~10 .lut_mask = 16'hFFFA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y18_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[11] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~11 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [10]),
|
|
.datab(gnd),
|
|
.datac(\altera_internal_jtag~TMSUTAP ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~11 .lut_mask = 16'hF0A0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y18_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[12] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [12]),
|
|
.datab(gnd),
|
|
.datac(\altera_internal_jtag~TMSUTAP ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 .lut_mask = 16'hF0A0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y18_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y18_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~3 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~3 .lut_mask = 16'h5500;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N29
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~4 .lut_mask = 16'hFFFC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~5 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\altera_internal_jtag~TMSUTAP ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~5 .lut_mask = 16'hFC00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~6 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [5]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [6]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~6 .lut_mask = 16'hFCFC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~7 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~7 .lut_mask = 16'hAA00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [7]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [5]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8 .lut_mask = 16'hA8A8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N3
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[8] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [15]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~1 .lut_mask = 16'hFFFB;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\altera_internal_jtag~TMSUTAP ),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~2 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [15]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~2 .lut_mask = 16'hAAA8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [2]),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1 .lut_mask = 16'h8888;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N21
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[9] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~0 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|tms_cnt [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~0 .lut_mask = 16'h5575;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[9] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N23
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [6]),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N21
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0 .lut_mask = 16'h00FF;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2]~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N29
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1 .lut_mask = 16'h00FF;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N31
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0]~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [11]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [6]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [7]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [9]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0 .lut_mask = 16'h0001;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [2]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [4]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [5]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1 .lut_mask = 16'h0100;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal1~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [0]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal1~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal1~0 .lut_mask = 16'h0040;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal1~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal1~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~12 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~14 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13 .lut_mask = 16'hA505;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~14 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15 .lut_mask = 16'h0FF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [0]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~1_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|jtag_ir_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~2 .lut_mask = 16'h4000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y17_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal0~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_dr_scan_proc~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18 .lut_mask = 16'hECA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y16_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~17_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19 .lut_mask = 16'hCD05;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y16_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[0]~8 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~10 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9 .lut_mask = 16'hC303;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y16_N3
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[1]~10 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~12 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11 .lut_mask = 16'h3CCF;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y16_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2]~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y16_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3]~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~19_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[4]~18_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~8 .lut_mask = 16'h0504;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6 .lut_mask = 16'hFAF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4 .lut_mask = 16'hF000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y13_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|~GND (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|~GND~combout = GND
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|~GND~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|~GND .lut_mask = 16'h0000;
|
|
defparam \auto_signaltap_0|~GND .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N28
|
|
cycloneive_lcell_comb \~QIC_CREATED_GND~I (
|
|
// Equation(s):
|
|
// \~QIC_CREATED_GND~I_combout = GND
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\~QIC_CREATED_GND~I_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \~QIC_CREATED_GND~I .lut_mask = 16'h0000;
|
|
defparam \~QIC_CREATED_GND~I .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~1 (
|
|
.dataa(\auto_signaltap_0|~GND~combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\~QIC_CREATED_GND~I_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~1 .lut_mask = 16'hC080;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y19_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 .lut_mask = 16'hFFAA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~1_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~2 .lut_mask = 16'hBFAA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0 .lut_mask = 16'h0C0C;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~3 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~2_combout ),
|
|
.datac(\altera_internal_jtag~TDIUTAP ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~3 .lut_mask = 16'hECCC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~17 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [5]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~17 .lut_mask = 16'hFA50;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~11 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~11 .lut_mask = 16'h8000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~11_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12 .lut_mask = 16'hAAEA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~21 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][5]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [5]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~21 .lut_mask = 16'hB8B8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~6 .lut_mask = 16'hE4E4;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~1 .lut_mask = 16'h00C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2 .lut_mask = 16'h4840;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y19_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~12 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][4]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~12 .lut_mask = 16'hCCF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~8 .lut_mask = 16'h1510;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26 .lut_mask = 16'h4000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y19_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~0 .lut_mask = 16'hACAC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~6 .lut_mask = 16'hE4E4;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N4
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~0 .lut_mask = 16'hDCDC;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N5
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~10 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~10 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~16 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~16 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|is_in_use_reg~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~6 .lut_mask = 16'hEE44;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~13 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~13 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~17 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][1]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~17 .lut_mask = 16'hF3C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N3
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N12
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~8 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [0]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~8_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~9 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~8 .lut_mask = 16'h55AA;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N14
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~13 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~9 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~13_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~14 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~13 .lut_mask = 16'h3C3F;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~13 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N16
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~15 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~14 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~15_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~16 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~15 .lut_mask = 16'hC30C;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~15 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N18
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~17 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~16 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~17_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~18 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~17 .lut_mask = 16'h5A5F;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~17 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N20
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~19 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [4]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~18 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~19_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~20 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~19 .lut_mask = 16'hA50A;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~19 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N22
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~21 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [5]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~20 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~21_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~22 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~21 .lut_mask = 16'h5A5F;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~21 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N24
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~23 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [6]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~22 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~23_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~24 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~23 .lut_mask = 16'hA50A;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~23 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N26
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7]~25 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [7]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~24 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7]~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7]~25 .lut_mask = 16'h5A5A;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7]~25 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~15 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~15 .lut_mask = 16'hAFA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~19 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][3]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~19 .lut_mask = 16'hAACC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N31
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y18_N28
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y18_N14
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][4]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0 .lut_mask = 16'hFFF0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N0
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [5]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1 .lut_mask = 16'h2000;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N29
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~11 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~11 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0 .lut_mask = 16'hFFF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~10 .lut_mask = 16'h0040;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [5]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0 .lut_mask = 16'h8880;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y19_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~7 .lut_mask = 16'hEEE2;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~7_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8 .lut_mask = 16'hC840;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N23
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~11_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~5 .lut_mask = 16'hFA50;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y19_N23
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~11 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][3]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~11 .lut_mask = 16'hF0AA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y19_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N30
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4 .lut_mask = 16'h2000;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~4 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][2]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~10 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N3
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N10
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~10 .lut_mask = 16'h2000;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~3 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N15
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][1]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~9 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N8
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0 .lut_mask = 16'h0033;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N8
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5 .lut_mask = 16'hFFF7;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N24
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1]~0 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1]~0 .lut_mask = 16'h25F0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N25
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1]~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N18
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2]~1 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2]~1 .lut_mask = 16'h34F0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N19
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2]~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N12
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0]~2 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~5_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0]~2 .lut_mask = 16'h25A5;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N13
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0]~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N20
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~11 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~11 .lut_mask = 16'h0080;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N6
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3 .lut_mask = 16'h0C00;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N2
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~10_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~11_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12 .lut_mask = 16'hFEEE;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N27
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7]~25_combout ),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N25
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6]~23_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [7]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N23
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5]~21_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [6]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N21
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4]~19_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [5]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N19
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3]~17_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [4]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N17
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2]~15_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [3]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N15
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1]~13_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [2]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y17_N13
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~8_combout ),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [1]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~4_combout ),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N25
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [0]),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[0] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~9 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N15
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~9_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~6_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7 .lut_mask = 16'h0020;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~27 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~7_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~27_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~27 .lut_mask = 16'h2722;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~27 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y19_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state~8_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~27_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28 .lut_mask = 16'h4000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y18_N16
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [4]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N17
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~15 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5]~q ),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~15 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y18_N10
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N11
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~18 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [6]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~18 .lut_mask = 16'hE4E4;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~22 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][6]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [6]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~22 .lut_mask = 16'hB8B8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [5]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~16 .lut_mask = 16'hEE44;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N13
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [6]),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[6] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y15_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~19 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~19 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y15_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y15_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~23 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][7]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~23 .lut_mask = 16'hAFA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y15_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~17 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [6]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~17 .lut_mask = 16'hEE44;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~20 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~20 .lut_mask = 16'hE4E4;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N15
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][8] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~24 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][8]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~24 .lut_mask = 16'hF3C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N23
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~24_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~18 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~18 .lut_mask = 16'h8C80;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~18_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y15_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~21 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~21 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y15_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][9] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y15_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~25 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][9]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~25 .lut_mask = 16'hAFA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y15_N3
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~19 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~19 .lut_mask = 16'h8C80;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~19_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9]~feeder_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8]~feeder_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [9]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~17_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [8]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[7] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N31
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~16_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [7]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[6] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~15_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [6]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~12 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~12 .lut_mask = 16'h0F00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y18_N22
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N23
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~16 .lut_mask = 16'hD8D8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~20 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][4]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~20 .lut_mask = 16'hCCF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~13 .lut_mask = 16'hA808;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~12_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~13_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~14 .lut_mask = 16'hEEF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4]~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [4]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5 .lut_mask = 16'hAFA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~14 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~14 .lut_mask = 16'hCFC0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N21
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][0]~12_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y18_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~18 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[2][2]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~18 .lut_mask = 16'hCCAA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y18_N29
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~28_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y18_N19
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [1]),
|
|
.clrn(!\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~0_combout ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[1] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y18_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[0]~5_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2]~q ),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ir_loaded_address_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~10 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y18_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg~10_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [3]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~8_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6 .lut_mask = 16'hA000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~4 .lut_mask = 16'h0500;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0 .lut_mask = 16'h0003;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~1 .lut_mask = 16'h00C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~5 .lut_mask = 16'h0002;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~4_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~5_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~7 .lut_mask = 16'hECF0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y17_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1]~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~8 .lut_mask = 16'h0005;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~8_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~9 .lut_mask = 16'hB830;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2]~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [2]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [1]),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0 .lut_mask = 16'h8888;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y17_N15
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg_proc~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y17_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11]~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10]~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[2]~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10]~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10]~4 .lut_mask = 16'hD8F0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10]~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y17_N15
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10]~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0 .lut_mask = 16'h1000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2 .lut_mask = 16'h0800;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 .lut_mask = 16'h0A0A;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~1_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg[0]~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0 .lut_mask = 16'h0080;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5 .lut_mask = 16'hF044;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~6_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~5_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7 .lut_mask = 16'hCCCE;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~9 .lut_mask = 16'h2AAA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~8_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~7_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~9_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0 .lut_mask = 16'hAACC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~4_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12 .lut_mask = 16'h8000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N31
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~10 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~11 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~10_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~11 .lut_mask = 16'h1805;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [1]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~11_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y15_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~15 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~15 .lut_mask = 16'h5C4B;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~15_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~16 .lut_mask = 16'h44C6;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [2]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~16_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y15_N1
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|identity_contrib_shift_reg [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~13 .lut_mask = 16'h01E3;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y16_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~13_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|mixer_addr_reg_internal [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~14 .lut_mask = 16'h0268;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~12_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric_ident_writedata [3]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg~14_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 .lut_mask = 16'h3F3F;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena .lut_mask = 16'hF0C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y16_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3]~3_combout ),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y16_N31
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2]~2_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y16_N5
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1]~1_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [2]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y16_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0]~0_combout ),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [1]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_proc~0_combout ),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|design_hash_reg [0]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0 .lut_mask = 16'h3838;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y19_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3 (
|
|
.dataa(\altera_internal_jtag~TDIUTAP ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3 .lut_mask = 16'h00AA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y19_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y19_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2 .lut_mask = 16'hFFAA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y19_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y19_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1 .lut_mask = 16'h5500;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y19_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y19_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0 .lut_mask = 16'hFFAA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y19_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal .lut_mask = 16'hC0C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y20_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~11 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~11_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~12 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~11 .lut_mask = 16'h33CC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y20_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~15 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~16_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~17 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~16 .lut_mask = 16'hA50A;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~16 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y20_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~18 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~17 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~18_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~19 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~18 .lut_mask = 16'h3C3F;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~18 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23 .lut_mask = 16'hFF40;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y20_N15
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~13 .lut_mask = 16'hFEFF;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y20_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4]~20 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [4]),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[3]~19 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4]~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4]~20 .lut_mask = 16'hC3C3;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4]~20 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y20_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4]~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~13_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22 .lut_mask = 16'hD5C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y20_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y20_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~12 ),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~14_combout ),
|
|
.cout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~15 ));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~14 .lut_mask = 16'h5A5F;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y20_N11
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1]~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y20_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2]~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~22_combout ),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[0]~23_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~6 .lut_mask = 16'hC0B0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~7 .lut_mask = 16'h1000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N14
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~13 .lut_mask = 16'h3079;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~13_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~14 .lut_mask = 16'h0004;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N2
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~15 (
|
|
.dataa(\altera_internal_jtag~TDIUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~14_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~15 .lut_mask = 16'hCCEC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16 .lut_mask = 16'hFFC8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y20_N3
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~12 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~12 .lut_mask = 16'hBA10;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N12
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~17 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~12_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~17 .lut_mask = 16'h3F00;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y20_N13
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[2] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~9 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~9 .lut_mask = 16'hF3F0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~9_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|word_counter [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~10 .lut_mask = 16'h4FCE;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~11 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [2]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~10_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~11 .lut_mask = 16'h00A3;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y20_N17
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y20_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~7_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [1]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~8 .lut_mask = 16'hAAEA;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y20_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0]~16_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N28
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_minor_ver_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg|WORD_SR [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1 .lut_mask = 16'hADA8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N30
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2 .lut_mask = 16'h2100;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N4
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0 (
|
|
.dataa(\altera_internal_jtag~TMSUTAP ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0 .lut_mask = 16'h050F;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\altera_internal_jtag~TMSUTAP ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0 .lut_mask = 16'hF000;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N10
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~5 (
|
|
.dataa(\altera_internal_jtag~TDIUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~5 .lut_mask = 16'hF733;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~5_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~6 .lut_mask = 16'h4454;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~3 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [15]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~1_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_dr_scan_reg~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~3 .lut_mask = 16'hCECC;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N16
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~3_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [15]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4 .lut_mask = 16'hFAF8;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y17_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset .lut_mask = 16'h0020;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~0 .lut_mask = 16'h0096;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr .lut_mask = 16'hAFFF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~32 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~32_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~33 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~32 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~32 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0 .lut_mask = 16'h4000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1 .lut_mask = 16'h4000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0 .lut_mask = 16'h5040;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~0 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [6]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [7]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~1 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [14]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [15]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [13]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~3 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [10]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [9]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [8]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~2 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~3_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~2 .lut_mask = 16'hFCCC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~2_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34 .lut_mask = 16'h7070;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~32_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [1]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~35 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[0]~33 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~35_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~36 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~35 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~35 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~35_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [2]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~37 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[1]~36 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~37_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~38 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~37 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~37 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~37_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~39 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[2]~38 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~39_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~40 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~39 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~39 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~39_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~41 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[3]~40 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~41_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~42 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~41 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~41 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~41_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [5]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~43 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [5]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[4]~42 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~43_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~44 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~43 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~43 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~43_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~45 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [6]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[5]~44 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~45_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~46 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~45 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~45 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~45_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [7]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~47 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [7]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[6]~46 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~47_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~48 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~47 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~47 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~47_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [8]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~49 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [8]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[7]~48 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~49_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~50 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~49 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~49 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~49_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [9]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~51 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [9]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[8]~50 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~51_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~52 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~51 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~51 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~51_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [10]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~53 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [10]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[9]~52 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~53_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~54 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~53 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~53 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~53_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [11]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~55 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [11]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[10]~54 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~55_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~56 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~55 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~55 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~55_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [12]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~57 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [12]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[11]~56 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~57_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~58 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~57 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~57 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~57_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [13]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~59 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [13]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[12]~58 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~59_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~60 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~59 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~59 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~59_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [14]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~61 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [14]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[13]~60 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~61_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~62 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~61 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~61 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~61_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [15]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~63 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [15]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[14]~62 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~63_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~64 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~63 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~63 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~63_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [16]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~65 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [16]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[15]~64 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~65_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~66 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~65 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~65 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~65_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [17]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~67 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [17]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[16]~66 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~67_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~68 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~67 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~67 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~67_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [18]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~69 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [18]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[17]~68 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~69_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~70 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~69 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~69 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~69_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [19]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~71 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [19]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[18]~70 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~71_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~72 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~71 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~71 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~71_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [20]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~73 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [20]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[19]~72 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~73_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~74 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~73 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~73 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~73_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [21]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~75 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [21]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~74 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~75_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~76 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~75 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~75 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~75_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [22]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~77 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [22]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[21]~76 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~77_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~78 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~77 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~77 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~77_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [23]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~79 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [23]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[22]~78 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~79_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~80 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~79 .lut_mask = 16'hC303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~79 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~79_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [24]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~81 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [24]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[23]~80 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~81_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~82 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~81 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~81 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~81_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [25]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~83 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [25]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[24]~82 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~83_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~84 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~83 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~83 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~83_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [26]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~85 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [26]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[25]~84 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~85_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~86 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~85 .lut_mask = 16'h3CCF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~85 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~87 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [27]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~86 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~87_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~88 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~87 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~87 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~89 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [28]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~88 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~89_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~90 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~89 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~89 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~91 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [29]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~90 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~91_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~92 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~91 .lut_mask = 16'hA505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~91 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~93 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [30]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~92 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~93_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~94 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~93 .lut_mask = 16'h5AAF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~93 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y11_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31]~95 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [31]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~94 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31]~95_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31]~95 .lut_mask = 16'hA5A5;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31]~95 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31]~95_combout ),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [31]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30]~93_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [31]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [30]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29]~91_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [30]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [29]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28]~89_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [29]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [28]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27]~87_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [28]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [27]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y11_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26]~85_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [27]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~0_combout ),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|process_0~1_combout ),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[20]~34_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y11_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [26]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [27]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [24]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~7 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y11_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [16]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [19]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [18]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [17]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~5 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y11_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~8 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [28]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [31]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [29]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [30]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~8 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y11_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [23]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [21]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [22]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [20]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~6 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y11_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~7_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~5_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~8_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~9_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|LessThan0~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1 .lut_mask = 16'h5444;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [0]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~13 .lut_mask = 16'h050A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~12 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~12 .lut_mask = 16'h0F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [11]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~11 .lut_mask = 16'h0A0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~10 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~10 .lut_mask = 16'h0F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~9 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~9 .lut_mask = 16'h0F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [8]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~8 .lut_mask = 16'h00CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~7 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [7]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~7 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [6]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~6 .lut_mask = 16'h0096;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~5 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [5]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~5 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~4 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [4]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~4 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~3 .lut_mask = 16'h00AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [2]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_calc_reset~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~2 .lut_mask = 16'h00CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0 .lut_mask = 16'h4040;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\altera_internal_jtag~TDIUTAP ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~16 .lut_mask = 16'hBF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1 .lut_mask = 16'hF000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y17_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~15 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [15]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~15 .lut_mask = 16'hBF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y17_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~14 .lut_mask = 16'hBF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y17_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [13]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~13 .lut_mask = 16'hFA0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [11]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [12]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~12 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [10]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~11 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [9]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~10 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~9 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [9]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~9 .lut_mask = 16'hFA0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y18_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~8 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [7]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~8 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [6]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~7 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~6 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~6 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [4]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~5 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [3]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~4 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~3 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [1]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~2 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X9_Y18_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [1]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_gen:tdo_crc_calc|lfsr [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~0 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X9_Y18_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][0]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 .lut_mask = 16'hFAFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: CLKCTRL_G5
|
|
cycloneive_clkctrl \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl (
|
|
.ena(vcc),
|
|
.inclk({vcc,vcc,vcc,\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~q }),
|
|
.clkselect(2'b00),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.outclk(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl .clock_type = "global clock";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl .ena_register_mode = "none";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y17_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena .lut_mask = 16'h2000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y9_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [1]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [5]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y17_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [3]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y17_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [2]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|trigger_config_deserialize|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [12]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X25_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|trigger_setup_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_len_reg [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~0 .lut_mask = 16'hE2C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 .lut_mask = 16'hF0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 (
|
|
.dataa(\altera_internal_jtag~TDIUTAP ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\altera_internal_jtag~TDIUTAP ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 .lut_mask = 16'h1F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[16] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [16]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 .lut_mask = 16'h0A2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [15]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 .lut_mask = 16'h1F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 .lut_mask = 16'h1F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [13]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 .lut_mask = 16'h444C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [12]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 .lut_mask = 16'h0A2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [11]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 .lut_mask = 16'h444C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [10]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 .lut_mask = 16'h0A2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 .lut_mask = 16'h1F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 .lut_mask = 16'h444C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 .lut_mask = 16'h1F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [6]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 .lut_mask = 16'h0A2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 .lut_mask = 16'hF0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: CLKCTRL_G7
|
|
cycloneive_clkctrl \uart0|rx_clk~clkctrl (
|
|
.ena(vcc),
|
|
.inclk({vcc,vcc,vcc,\uart0|rx_clk~q }),
|
|
.clkselect(2'b00),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.outclk(\uart0|rx_clk~clkctrl_outclk ));
|
|
// synopsys translate_off
|
|
defparam \uart0|rx_clk~clkctrl .clock_type = "global clock";
|
|
defparam \uart0|rx_clk~clkctrl .ena_register_mode = "none";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder .lut_mask = 16'hFFFF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder .lut_mask = 16'hFFFF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 .lut_mask = 16'hA000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 .lut_mask = 16'hFF04;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data .lut_mask = 16'hAA00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~1 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 .lut_mask = 16'h3300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~3 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 .lut_mask = 16'h3300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~q ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~5 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 .lut_mask = 16'h00CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~7 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~q ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~9 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~q ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~11 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~13 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 .lut_mask = 16'hA50A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~13 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~15 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~15 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~17 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12 .lut_mask = 16'h3300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~17 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~19 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10]~q ),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~19 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~21 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11]~q ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~21 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~23 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~18_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~20_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~22_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~16_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12]~q ),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~23 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24 .lut_mask = 16'hF00F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~10_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~14_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~8_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~12_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y13_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~2_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~2_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add2~24_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~1_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~1 .lut_mask = 16'hC0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~3_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~4 .lut_mask = 16'h3032;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0 .lut_mask = 16'hC000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N1
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [24]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~55 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [24]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~55_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~55 .lut_mask = 16'hCAEA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~55 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~54 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [24]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_32|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~54_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~54 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~54 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~55_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~54_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_33|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_34|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56 .lut_mask = 16'h6500;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N15
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [5]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [23]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~51 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [23]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~51_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~51 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~51 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~52 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [23]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_35|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~52_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~52 .lut_mask = 16'hCEAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~52 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~51_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~52_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_36|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_37|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N25
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [22]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~49 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [22]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~49_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~49 .lut_mask = 16'hCAEA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~49 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~48 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [22]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_38|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~48_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~48 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~48 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~49_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~48_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_39|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_40|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50 .lut_mask = 16'h6500;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N25
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [7]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~58 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~58_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~58 .lut_mask = 16'hFC4C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~58 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~57 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_29|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~57_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~57 .lut_mask = 16'h8BFC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~57 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~58_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~57_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_30|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_31|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59 .lut_mask = 16'h6500;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~1 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[19]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[19]~feeder_combout = \uart0|rx_data [1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[19]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[19]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[19]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N21
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[19]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [19]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~39 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [19]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~39_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~39 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~39 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~40 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_47|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [19]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~40_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~40 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~40 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~39_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~40_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_48|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_49|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[18]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout = \uart0|rx_data [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[18]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[18]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N5
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[18]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [18]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~36 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [18]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~36_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~36 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~36 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~37 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_50|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [18]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~37_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~37 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~37 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_52|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~36_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_51|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~37_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38 .lut_mask = 16'h208A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[21]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout = \uart0|rx_data [3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[21]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[21]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[21]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [21]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~46 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [21]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~46_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~46 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~46 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~45 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_41|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [21]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~45_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~45 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~45 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_43|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~46_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_42|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~45_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y14_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[20]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout = \uart0|rx_data [2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[20]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[20]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N13
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[20]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [20]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~43 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [20]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~43_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~43 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~43 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~42 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_44|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [20]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~42_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~42 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~42 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y14_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_46|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~43_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_45|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~42_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~0 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideOr0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~1 .lut_mask = 16'h0F0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~1 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideXor0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~0 .lut_mask = 16'h9096;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~41_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~38_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~47_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~44_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~0 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~56_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~53_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~50_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~59_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~1 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|WideAnd0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~3 .lut_mask = 16'hBEFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~4 .lut_mask = 16'h8B08;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_53|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2 .lut_mask = 16'hFF28;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [1]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [2]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~0 .lut_mask = 16'h0303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N0
|
|
cycloneive_lcell_comb \address[0]~0 (
|
|
// Equation(s):
|
|
// \address[0]~0_combout = (\uart0|rx_done~q & ((wraddress[0]))) # (!\uart0|rx_done~q & (rdaddress[0]))
|
|
|
|
.dataa(rdaddress[0]),
|
|
.datab(gnd),
|
|
.datac(wraddress[0]),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[0]~0 .lut_mask = 16'hF0AA;
|
|
defparam \address[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N0
|
|
cycloneive_lcell_comb \address[1]~1 (
|
|
// Equation(s):
|
|
// \address[1]~1_combout = (\uart0|rx_done~q & ((wraddress[1]))) # (!\uart0|rx_done~q & (rdaddress[1]))
|
|
|
|
.dataa(rdaddress[1]),
|
|
.datab(gnd),
|
|
.datac(wraddress[1]),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[1]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[1]~1 .lut_mask = 16'hF0AA;
|
|
defparam \address[1]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y11_N2
|
|
cycloneive_lcell_comb \address[2]~2 (
|
|
// Equation(s):
|
|
// \address[2]~2_combout = (\uart0|rx_done~q & ((wraddress[2]))) # (!\uart0|rx_done~q & (rdaddress[2]))
|
|
|
|
.dataa(rdaddress[2]),
|
|
.datab(wraddress[2]),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[2]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[2]~2 .lut_mask = 16'hCCAA;
|
|
defparam \address[2]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N26
|
|
cycloneive_lcell_comb \address[3]~3 (
|
|
// Equation(s):
|
|
// \address[3]~3_combout = (\uart0|rx_done~q & (wraddress[3])) # (!\uart0|rx_done~q & ((rdaddress[3])))
|
|
|
|
.dataa(wraddress[3]),
|
|
.datab(gnd),
|
|
.datac(rdaddress[3]),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[3]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[3]~3 .lut_mask = 16'hAAF0;
|
|
defparam \address[3]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N4
|
|
cycloneive_lcell_comb \address[4]~4 (
|
|
// Equation(s):
|
|
// \address[4]~4_combout = (\uart0|rx_done~q & ((wraddress[4]))) # (!\uart0|rx_done~q & (rdaddress[4]))
|
|
|
|
.dataa(rdaddress[4]),
|
|
.datab(wraddress[4]),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[4]~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[4]~4 .lut_mask = 16'hCCAA;
|
|
defparam \address[4]~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N24
|
|
cycloneive_lcell_comb \address[5]~5 (
|
|
// Equation(s):
|
|
// \address[5]~5_combout = (\uart0|rx_done~q & (wraddress[5])) # (!\uart0|rx_done~q & ((rdaddress[5])))
|
|
|
|
.dataa(gnd),
|
|
.datab(wraddress[5]),
|
|
.datac(\uart0|rx_done~q ),
|
|
.datad(rdaddress[5]),
|
|
.cin(gnd),
|
|
.combout(\address[5]~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[5]~5 .lut_mask = 16'hCFC0;
|
|
defparam \address[5]~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N30
|
|
cycloneive_lcell_comb \address[6]~6 (
|
|
// Equation(s):
|
|
// \address[6]~6_combout = (\uart0|rx_done~q & ((wraddress[6]))) # (!\uart0|rx_done~q & (rdaddress[6]))
|
|
|
|
.dataa(gnd),
|
|
.datab(rdaddress[6]),
|
|
.datac(wraddress[6]),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[6]~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[6]~6 .lut_mask = 16'hF0CC;
|
|
defparam \address[6]~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N8
|
|
cycloneive_lcell_comb \address[7]~7 (
|
|
// Equation(s):
|
|
// \address[7]~7_combout = (\uart0|rx_done~q & ((wraddress[7]))) # (!\uart0|rx_done~q & (rdaddress[7]))
|
|
|
|
.dataa(rdaddress[7]),
|
|
.datab(gnd),
|
|
.datac(wraddress[7]),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\address[7]~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \address[7]~7 .lut_mask = 16'hF0AA;
|
|
defparam \address[7]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y14_N0
|
|
cycloneive_ram_block \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 (
|
|
.portawe(\uart0|rx_done~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(\ram0|altsyncram_component|auto_generated|mgl_prim2|enable_write~0_combout ),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\clk~inputclkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(vcc),
|
|
.ena1(vcc),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\uart0|rx_data [7],\uart0|rx_data [6],\uart0|rx_data [5],\uart0|rx_data [4],\uart0|rx_data [3],\uart0|rx_data [2],\uart0|rx_data [1],\uart0|rx_data [0]}),
|
|
.portaaddr({\address[7]~7_combout ,\address[6]~6_combout ,\address[5]~5_combout ,\address[4]~4_combout ,\address[3]~3_combout ,\address[2]~2_combout ,\address[1]~1_combout ,\address[0]~0_combout }),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [7],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [6],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [5],
|
|
\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [4],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [3],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [2],
|
|
\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [1],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [0]}),
|
|
.portbaddr({\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [7],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [6],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [5],
|
|
\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [4],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [3],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [2],
|
|
\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [1],\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_addr_reg [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(\ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTADATAOUT_bus ),
|
|
.portbdataout(\ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .data_interleave_offset_in_bits = 1;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .data_interleave_width_in_bits = 1;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .logical_ram_name = "ram_uart:ram0|altsyncram:altsyncram_component|altsyncram_ofh1:auto_generated|altsyncram_qk72:altsyncram1|ALTSYNCRAM";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .operation_mode = "bidir_dual_port";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_address_clear = "none";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_address_width = 8;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_byte_enable_clock = "none";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_data_out_clear = "none";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_data_out_clock = "clock0";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_data_width = 18;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_first_address = 0;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_first_bit_number = 0;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_last_address = 255;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_logical_ram_depth = 256;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_logical_ram_width = 8;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_address_clear = "none";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_address_clock = "clock1";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_address_width = 8;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_data_in_clock = "clock1";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_data_out_clear = "none";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_data_out_clock = "none";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_data_width = 18;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_first_address = 0;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_first_bit_number = 0;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_last_address = 255;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_logical_ram_depth = 256;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_logical_ram_width = 8;
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_read_enable_clock = "clock1";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .port_b_write_enable_clock = "clock1";
|
|
defparam \ram0|altsyncram_component|auto_generated|altsyncram1|ram_block3a0 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N6
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2 .lut_mask = 16'h8000;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N22
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\altera_internal_jtag~TDIUTAP ),
|
|
.datac(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [7]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~9 .lut_mask = 16'hF0E4;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N0
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~1 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [2]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][1]~q ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_shift_cntr_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~1 .lut_mask = 16'h8000;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N10
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~1_combout ),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2 .lut_mask = 16'hEFEE;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N23
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N4
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [6]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [7]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~8 .lut_mask = 16'hCCD8;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N5
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[6] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N26
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [6]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [5]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~7 .lut_mask = 16'hF0E4;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N27
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[5] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N16
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [5]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~6 .lut_mask = 16'hCCD8;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N17
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[4] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N14
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [3]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~5 .lut_mask = 16'hF0E4;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N15
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[3] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N28
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [2]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [3]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~4 .lut_mask = 16'hCCD8;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N29
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[2] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N2
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~3 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [2]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~3 .lut_mask = 16'hF0E4;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N3
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[1] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y14_N8
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][3]~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [1]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|altsyncram1|q_b [0]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~0 .lut_mask = 16'hF0E4;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y14_N9
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[7]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[0] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[11]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N13
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~70 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [11]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~70_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~70 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~70 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~69 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [11]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_67|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~69_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~69 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~69 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_69|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~70_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_68|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~69_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N7
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [1]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [9]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~64 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [9]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~64_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~64 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~64 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~63 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [9]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_73|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~63_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~63 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~63 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~64_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_75|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_74|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~63_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65 .lut_mask = 16'h4484;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [2]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y9_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~66 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~66_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~66 .lut_mask = 16'h8BFC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~66 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~67 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_70|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~67_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~67 .lut_mask = 16'hFC4C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~67 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y9_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~66_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~67_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_71|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_72|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y10_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[8]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y10_N27
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y10_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [8]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~61 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~61_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~61 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~61 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~60 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_76|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~60_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~60 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~60 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~61_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_78|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_77|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~60_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62 .lut_mask = 16'h4484;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~0 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[15]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [7]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N27
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [15]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~81 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [15]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~81_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~81 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~81 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~82 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [15]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_55|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~82_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~82 .lut_mask = 16'hAECC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~82 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~81_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_57|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_56|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~82_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83 .lut_mask = 16'h408C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [5]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [13]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~75 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [13]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~75_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~75 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~75 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~76 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_61|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [13]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~76_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~76 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~76 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~75_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_63|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_62|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~76_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77 .lut_mask = 16'h408C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N17
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y8_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y8_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~73 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~73_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~73 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~73 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~72 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_64|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~72_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~72 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~72 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_66|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~73_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_65|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~72_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[14]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [6]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[14]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[14]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N25
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[14]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~79 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~79_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~79 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~79 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~78 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_58|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~78_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~78 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~78 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_60|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~79_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_59|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~78_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~1 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~0_combout ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0 .lut_mask = 16'hAA00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~1 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~1 .lut_mask = 16'h0FF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~83_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~77_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~74_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~80_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~1 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~71_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~65_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~68_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~62_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~0 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [1]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideOr0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~1 .lut_mask = 16'h3C38;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideXor0~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~2 .lut_mask = 16'h36C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y9_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|WideAnd0~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_79|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3 .lut_mask = 16'hFF28;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[34]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout = tx_data[7]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[34]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[34]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[34] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[34]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [34]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [34]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~33 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [34]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~33_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~33 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~33 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~34 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [34]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_3|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~34_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~34 .lut_mask = 16'hCAEA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~34 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~33_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~34_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_4|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_5|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N0
|
|
cycloneive_lcell_comb \tx_data[5]~feeder (
|
|
// Equation(s):
|
|
// \tx_data[5]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [5]),
|
|
.cin(gnd),
|
|
.combout(\tx_data[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \tx_data[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \tx_data[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N1
|
|
dffeas \tx_data[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\tx_data[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[5] .is_wysiwyg = "true";
|
|
defparam \tx_data[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[32]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[32]~feeder_combout = tx_data[5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[32]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[32]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[32]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N15
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[32] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[32]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [32]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [32]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~28 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [32]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~28_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~28 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~28 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~27 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [32]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_9|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~27_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~27 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~27 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~28_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~27_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_10|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_11|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29 .lut_mask = 16'h6500;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N25
|
|
dffeas \tx_data[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [4]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[4] .is_wysiwyg = "true";
|
|
defparam \tx_data[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[31] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [31]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [31]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~24 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [31]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~24 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~25 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [31]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_12|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~25 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~24_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~25_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_13|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_14|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y11_N8
|
|
cycloneive_lcell_comb \tx_data[6]~feeder (
|
|
// Equation(s):
|
|
// \tx_data[6]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [6]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [6]),
|
|
.cin(gnd),
|
|
.combout(\tx_data[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \tx_data[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \tx_data[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N9
|
|
dffeas \tx_data[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\tx_data[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[6] .is_wysiwyg = "true";
|
|
defparam \tx_data[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N5
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[33] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [33]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [33]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~31 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [33]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~31_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~31 .lut_mask = 16'hCAEA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~31 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~30 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [33]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_6|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~30_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~30 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~30 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_8|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~31_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_7|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~30_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~1 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N25
|
|
dffeas \tx_data[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [1]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[1] .is_wysiwyg = "true";
|
|
defparam \tx_data[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[28]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout = tx_data[1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[28]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[28]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y12_N7
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[28] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[28]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [28]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [28]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~16 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [28]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~16 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_21|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [28]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~15 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~16_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_23|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_22|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~15_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17 .lut_mask = 16'h4484;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N4
|
|
cycloneive_lcell_comb \tx_data[2]~feeder (
|
|
// Equation(s):
|
|
// \tx_data[2]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [2]),
|
|
.cin(gnd),
|
|
.combout(\tx_data[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \tx_data[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \tx_data[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N5
|
|
dffeas \tx_data[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\tx_data[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[2] .is_wysiwyg = "true";
|
|
defparam \tx_data[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N13
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[29] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[2]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [29]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [29]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~19 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [29]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~19 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~18 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_18|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [29]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~18 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_20|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~19_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_19|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~18_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y12_N18
|
|
cycloneive_lcell_comb \tx_data[0]~feeder (
|
|
// Equation(s):
|
|
// \tx_data[0]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0]),
|
|
.cin(gnd),
|
|
.combout(\tx_data[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \tx_data[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \tx_data[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N19
|
|
dffeas \tx_data[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\tx_data[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[0] .is_wysiwyg = "true";
|
|
defparam \tx_data[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y9_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[27]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout = tx_data[0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[27]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[27]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N27
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[27] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[27]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [27]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [27]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~12 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [27]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~12 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~13 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [27]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_24|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~13 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_26|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~12_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_25|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~13_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14 .lut_mask = 16'h208A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N23
|
|
dffeas \tx_data[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [3]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[3] .is_wysiwyg = "true";
|
|
defparam \tx_data[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[30]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout = tx_data[3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[30]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[30]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N29
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[30] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[30]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [30]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [30]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~22 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [30]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~22 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~21 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_15|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [30]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~21 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_17|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~22_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_16|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~21_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~0 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~1_combout ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0 .lut_mask = 16'hAA00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~0 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~1 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~35_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~29_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~26_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~32_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~1 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~17_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~20_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~14_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~23_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~0 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideOr0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~1 .lut_mask = 16'h5A58;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideXor0~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~2 .lut_mask = 16'h36C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [1]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [2]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~0 .lut_mask = 16'h0505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|WideAnd0~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~2_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_27|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3 .lut_mask = 16'hDECC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [1]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [2]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~0 .lut_mask = 16'h0303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[1]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[1]~feeder_combout = \uart0|rx_data [1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~87 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~87_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~87 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~87 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~88 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_99|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~88_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~88 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~88 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~87_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~88_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_100|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_101|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[3]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout = \uart0|rx_data [3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N19
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~94 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [3]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~94_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~94 .lut_mask = 16'hCAEA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~94 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~93 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [3]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_93|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~93_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~93 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~93 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_95|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~94_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_94|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~93_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[2]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout = \uart0|rx_data [2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N13
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~90 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~90_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~90 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~90 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~91 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_96|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~91_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~91 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~91 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~90_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~91_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_97|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_98|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[0]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout = \uart0|rx_data [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N15
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~85 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~85_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~85 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~85 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~84 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_102|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~84_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~84 .lut_mask = 16'hDE56;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~84 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_104|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~85_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_103|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~84_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~0 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N25
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [7]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~105 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~105_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~105 .lut_mask = 16'h8BFC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~105 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~106 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_81|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~106_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~106 .lut_mask = 16'hFC4C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~106 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~105_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~106_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_82|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_83|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[5]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout = \uart0|rx_data [5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N21
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~99 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~99_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~99 .lut_mask = 16'h8BFC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~99 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~100 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [5]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_87|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~100_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~100 .lut_mask = 16'hACEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~100 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~99_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~100_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_88|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_89|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[6]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[6]~feeder_combout = \uart0|rx_data [6]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N1
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~102 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~102_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~102 .lut_mask = 16'h8DFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~102 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~103 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_84|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [0]),
|
|
.datad(\auto_signaltap_0|acq_trigger_in_reg [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~103_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~103 .lut_mask = 16'hFA2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~103 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~102_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~103_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_85|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_86|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104 .lut_mask = 16'h6300;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y16_N3
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y16_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~97 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~97_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~97 .lut_mask = 16'hCAEA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~97 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~96 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [2]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_90|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~96_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~96 .lut_mask = 16'hBE36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~96 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_92|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~97_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_91|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~96_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98 .lut_mask = 16'h2282;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~1 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [1]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideOr0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~1 .lut_mask = 16'h3C38;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~1 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~1 .lut_mask = 16'h0FF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideXor0~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~2 .lut_mask = 16'h6468;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~107_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~101_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~104_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~98_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~1 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~89_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~95_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~92_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~86_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~0 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~1_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0 .lut_mask = 16'hF000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~2_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_105|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|WideAnd0~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3 .lut_mask = 16'hCEEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~1 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[17]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout = \str_end~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\str_end~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[17]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[17]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y15_N7
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[17]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_112|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [17]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_112|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_112|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_112|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [0]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [17]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_112|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~4 .lut_mask = 16'h2C20;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [0]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [17]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_113|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~3 .lut_mask = 16'h0E07;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~4_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_114|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5 .lut_mask = 16'hF0A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[26]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[26]~feeder_combout = \uart0|rx_done~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_done~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N29
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_109|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [26]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_109|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_109|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_109|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~7 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [26]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_109|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~7 .lut_mask = 16'h4A40;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~6 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [26]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_110|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~6 .lut_mask = 16'h0E07;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~7_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_111|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8 .lut_mask = 16'hF0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y11_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[35]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout = \uart0|tx_done~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\uart0|tx_done~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[35]~feeder .lut_mask = 16'hF0F0;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[35]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y11_N5
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[35] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[35]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [35]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y16_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_106|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [35]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_106|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_106|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_106|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~10 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [35]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_106|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~10 .lut_mask = 16'h4A40;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~9 (
|
|
.dataa(\auto_signaltap_0|acq_trigger_in_reg [35]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_107|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~9 .lut_mask = 16'h0E07;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~10_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_108|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~9_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11 .lut_mask = 16'hF0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_trigger_in_reg[16]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_trigger_in_reg[16]~feeder_combout = \str_en~q
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\str_en~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_trigger_in_reg[16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N9
|
|
dffeas \auto_signaltap_0|acq_trigger_in_reg[16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_trigger_in_reg[16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_trigger_in_reg [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_trigger_in_reg[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_115|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_trigger_in_reg [16]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_115|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_115|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_115|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [0]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [16]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|mbpm_115|gen_sbpmg_pipeline_less_than_two:sm0:0:sm1|holdff~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~1 .lut_mask = 16'h2C20;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [0]),
|
|
.datab(\auto_signaltap_0|acq_trigger_in_reg [16]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_116|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~0 .lut_mask = 16'h0E07;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_117|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2 .lut_mask = 16'hF0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~0 .lut_mask = 16'h6996;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideXor0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~5 .lut_mask = 16'hC134;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~5_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~6 .lut_mask = 16'hC040;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~0 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~1 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [1]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~2 .lut_mask = 16'h0303;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideAnd0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~2_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~3 .lut_mask = 16'h7080;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_28|Mux0~2_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_54|Mux0~3_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_2|Mux0~3_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_80|Mux0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~1 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~5_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~8_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~11_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|comb~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~0 .lut_mask = 16'hFFFE;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~1_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|WideOr0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~0 .lut_mask = 16'h3330;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|config_shiftreg_118|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~1 .lut_mask = 16'h30C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~6_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~3_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~4 .lut_mask = 16'hFFFC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|output119a[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|unary_1|Mux0~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|output119a [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|output119a[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|output119a[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|run~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|multi_level_advanced_trigger_gen:advanced_trigger_wrapper|auto_generated|mgl_prim1|output119a [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 .lut_mask = 16'hFA50;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|last_level_delayed~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|ela_control|builtin:ela_trigger_flow_mgr_entity|trigger_config_deserialize|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 .lut_mask = 16'h5055;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~0 .lut_mask = 16'hCF04;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~1 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 .lut_mask = 16'hA100;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~3 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~6 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5 .lut_mask = 16'hA50A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~6 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~8_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 .lut_mask = 16'hC010;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~9 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 .lut_mask = 16'hA50A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~11_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 .lut_mask = 16'hC010;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~12 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~14_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 .lut_mask = 16'hC010;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~15 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~17_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 .lut_mask = 16'hC004;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~18 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~21 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~20_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 .lut_mask = 16'hC004;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~23 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [8]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~21 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~23_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~24 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~23 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~23 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~25 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~23_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~25 .lut_mask = 16'hC010;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~26 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [9]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~24 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~26_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~27 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~26 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~26 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~28 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~26_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~28_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~28 .lut_mask = 16'hC004;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~28 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~28_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~29 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [10]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~27 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~29_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~30 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~29 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~29 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~31 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~29_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~31_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~31 .lut_mask = 16'hC004;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~31 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~31_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~32 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [11]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~30 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~32_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~33 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~32 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~32 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~34 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~32_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~34_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~34 .lut_mask = 16'hC004;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~34 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~34_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~13_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~14 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~13 .lut_mask = 16'h9988;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [2]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~14 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~15_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~16 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~15 .lut_mask = 16'hA55F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~15 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~17 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~16 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~17_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~18 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~17 .lut_mask = 16'h3C0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~17 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~19 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [4]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~18 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~19_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~20 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~19 .lut_mask = 16'hA55F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~19 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~21 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [5]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~20 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~21_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~22 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~21 .lut_mask = 16'h3C0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~21 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~23 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [6]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~22 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~23_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~24 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~23 .lut_mask = 16'hA55F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~23 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~25 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [7]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~24 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~25_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~26 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~25 .lut_mask = 16'h3C0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~25 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~27 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [8]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~26 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~27_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~28 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~27 .lut_mask = 16'hA55F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~27 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~29 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [9]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~28 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~29_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~30 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~29 .lut_mask = 16'h3C0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~29 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~31 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [10]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~30 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~31_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~32 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~31 .lut_mask = 16'hA55F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~31 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~33 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [11]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~32 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~33_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~34 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~33 .lut_mask = 16'h5A0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~33 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~33_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10]~31_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [11]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [10]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [11]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~6 .lut_mask = 16'h1248;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5]~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4]~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [5]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~2 .lut_mask = 16'h1428;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7]~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6]~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [7]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~3 .lut_mask = 16'h0660;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [0]),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1]~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~0 .lut_mask = 16'h1428;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2]~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3]~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [3]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~1 .lut_mask = 16'h1428;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~2_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~3_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~4 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~35 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [12]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~33 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~35_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~36 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~35 .lut_mask = 16'hA50A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~35 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~37 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~35_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~37_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~37 .lut_mask = 16'hC004;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~37 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~37_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~35 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_offset_config_deserialize|dffs [12]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[11]~34 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~35_combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~36 ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~35 .lut_mask = 16'hC33F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~35 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13]~37 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~36 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13]~37_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13]~37 .lut_mask = 16'hF0F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13]~37 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13]~37_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~38 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [13]),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~36 ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~38_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~38 .lut_mask = 16'h0FF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~38 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~40 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~38_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~40_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~40 .lut_mask = 16'hC010;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~40 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~40_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12]~35_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [12]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [13]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [13]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~7 .lut_mask = 16'h1428;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9]~29_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y15_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8]~27_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [9]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [8]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [9]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|modified_post_count [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~5 .lut_mask = 16'h1248;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~6_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~7_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~5_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X28_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~5_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 .lut_mask = 16'hC010;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Add3~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [7]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [6]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [9]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [10]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [11]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 .lut_mask = 16'h0001;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [12]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|counter [13]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~3 .lut_mask = 16'h0505;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X29_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~2_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4 .lut_mask = 16'h8000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1 .lut_mask = 16'h0F04;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|process_0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 .lut_mask = 16'h0FF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:base_address[0]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][6]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|cdr~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 .lut_mask = 16'h0A2A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 .lut_mask = 16'hFAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|done~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal0~4_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 .lut_mask = 16'h0103;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|collecting_post_data_var~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 .lut_mask = 16'h0F0F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 .lut_mask = 16'h00F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1 .lut_mask = 16'hF0E0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [3]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~1_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 .lut_mask = 16'hE2E2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|state_status[2]~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1 .lut_mask = 16'h30AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:collecting_post_data_var~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_load_on~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 .lut_mask = 16'hC0AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|_~0_combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_shift_enable~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|bypass_reg_out~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|status_register|dffs [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~2 .lut_mask = 16'h00E4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~6 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~6 .lut_mask = 16'h0700;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5 .lut_mask = 16'h7877;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~8 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~8 .lut_mask = 16'h28A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 .lut_mask = 16'hC0C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|Add0~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~7 .lut_mask = 16'h28A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [3]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2 .lut_mask = 16'hFFFD;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3 .lut_mask = 16'h3F00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~3_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~4 .lut_mask = 16'h2828;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[2]~5_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|~VCC (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|~VCC~combout = VCC
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|~VCC~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|~VCC .lut_mask = 16'hFFFF;
|
|
defparam \auto_signaltap_0|~VCC .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~6 .lut_mask = 16'hFA44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~7 .lut_mask = 16'hF588;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~4 (
|
|
.dataa(\auto_signaltap_0|~GND~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datac(\auto_signaltap_0|~GND~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~4 .lut_mask = 16'hCCB8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~5 (
|
|
.dataa(\auto_signaltap_0|~GND~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~4_combout ),
|
|
.datac(\auto_signaltap_0|~GND~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~5 .lut_mask = 16'hE2CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~7_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~5_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~0 .lut_mask = 16'hAACC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12 .lut_mask = 16'hFA44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~VCC~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~12_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 .lut_mask = 16'hF588;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 .lut_mask = 16'hAAD8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datab(\auto_signaltap_0|~VCC~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~10_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 .lut_mask = 16'hF588;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1]~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~13_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~11_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1]~1 .lut_mask = 16'hAACC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 .lut_mask = 16'hFA44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~16_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 .lut_mask = 16'hDDA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 .lut_mask = 16'hAAD8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~GND~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~14_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 .lut_mask = 16'hDDA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~17_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~15_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~2 .lut_mask = 16'hAACC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~GND~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 .lut_mask = 16'hAAE4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~18_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 .lut_mask = 16'hF588;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~20 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~GND~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~20 .lut_mask = 16'hFA44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~21 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [1]),
|
|
.datab(\auto_signaltap_0|~VCC~combout ),
|
|
.datac(\auto_signaltap_0|~VCC~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~20_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~21 .lut_mask = 16'hF588;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3]~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~19_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR~21_combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3]~3 .lut_mask = 16'hCCAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8 .lut_mask = 16'h8F88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9 .lut_mask = 16'h5AAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3]~3_combout ),
|
|
.asdata(\altera_internal_jtag~TDIUTAP ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8_combout ),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~2_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8_combout ),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1]~1_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [2]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8_combout ),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0]~0_combout ),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [1]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~8_combout ),
|
|
.sload(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[2]~9_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~2_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr|WORD_SR [0]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~3 .lut_mask = 16'hFCCC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y13_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y13_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y13_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y13_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~0 .lut_mask = 16'hF0F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita4~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0 .lut_mask = 16'hF0FF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y13_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[4]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 .lut_mask = 16'h0080;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal3~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 .lut_mask = 16'h080A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y13_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0 .lut_mask = 16'h0202;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y13_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 .lut_mask = 16'hF0F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y13_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0]~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0]~0 .lut_mask = 16'hF7FF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 .lut_mask = 16'h0800;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~0_combout ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~1 .lut_mask = 16'hAA00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y13_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0]~0_combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal2~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_read_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:is_buffer_wrapped~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 .lut_mask = 16'hA800;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][2]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:segment_shift_var~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|current_segment_delayed [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 .lut_mask = 16'h00A8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|segment_wrapped_delayed~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][0]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[0]~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][3]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[3]~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][5]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[5]~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][6]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 .lut_mask = 16'hFC30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[6]~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][7]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][7]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 .lut_mask = 16'hFC30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[7]~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[15]~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][15]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][15]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[15]~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[15]~15 .lut_mask = 16'hBB88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[15]~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[15]~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[2]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[16]~16 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][16]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][16]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[16]~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[16]~16 .lut_mask = 16'hFA50;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[16]~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[16] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[16]~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y8_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[3]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[17]~17 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][17]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][17]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[17]~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[17]~17 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[17]~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[17] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[17]~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[19]~19 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][19]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][19]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[19]~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[19]~19 .lut_mask = 16'hF5A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[19]~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[19] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[19]~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y12_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[20]~20 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][20]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][20]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[20]~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[20]~20 .lut_mask = 16'hE4E4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[20]~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[20] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[20]~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[7]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[21]~21 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][21]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][21]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[21]~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[21]~21 .lut_mask = 16'hFA50;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[21]~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[21] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[21]~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[12]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y17_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y17_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[26]~26 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][26]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][26]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[26]~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[26]~26 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[26]~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[26] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[26]~26_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~26 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [26]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~26 .lut_mask = 16'hFAFA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[26] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~26_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[11]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y14_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y14_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y17_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[25]~25 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][25]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][25]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[25]~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[25]~25 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[25]~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[25] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[25]~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y17_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~25 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [26]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~25 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[25] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[10]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][24]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][24]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y17_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[24]~24 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][24]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][24]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[24]~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[24]~24 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[24]~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[24] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[24]~24_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y17_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~24 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [25]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [24]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~24 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y17_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[24] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~24_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[9]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[23]~23 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][23]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][23]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[23]~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[23]~23 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[23]~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[23] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[23]~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~23 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [24]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [23]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~23 .lut_mask = 16'hD8D8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[23] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y11_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][22]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][22]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[22]~22 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][22]~q ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][22]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[22]~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[22]~22 .lut_mask = 16'hF0AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[22]~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[22] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[22]~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~22 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [23]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [22]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~22 .lut_mask = 16'hF5A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[22] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~21 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [21]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [22]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~21 .lut_mask = 16'hE4E4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[21] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~20 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [20]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [21]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~20 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[20] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~19 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [19]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [20]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~19 .lut_mask = 16'hE4E4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[19] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[4]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][18]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y17_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][18]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[18]~18 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][18]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][18]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[18]~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[18]~18 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[18]~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[18] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[18]~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~18 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [19]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [18]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~18 .lut_mask = 16'hD8D8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[18] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~17 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [17]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [18]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~17 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[17] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~16 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [16]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [17]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~16 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y17_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[16] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [15]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [16]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~15 .lut_mask = 16'hE2E2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:next_address[0]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][14]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][14]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 .lut_mask = 16'hFC30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[14]~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [15]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [14]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [12]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [12]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][13]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][13]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 .lut_mask = 16'hFC30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[13]~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [14]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [13]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][12]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][12]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 .lut_mask = 16'hBB88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[12]~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [13]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [12]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][11]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][11]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[11]~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [12]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [11]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [9]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [9]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][10]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][10]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 .lut_mask = 16'hE2E2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[10]~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [11]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y14_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][9]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][9]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 .lut_mask = 16'hEE22;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[9]~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [10]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y15_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y15_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][8]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[8]~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [9]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y15_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [7]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 .lut_mask = 16'hFA50;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [6]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [6]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 .lut_mask = 16'hE4E4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][4]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][4]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[4]~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [5]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [4]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 .lut_mask = 16'hD8D8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [3]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y14_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][2]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][2]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 .lut_mask = 16'hCACA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[2]~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 .lut_mask = 16'hF5A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[1]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X11_Y14_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|last_trigger_address_delayed [0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|wdecoder|auto_generated|eq_node[0]~1_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xraddr [0]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[1][1]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|cells[0][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|mux|auto_generated|result_node[1]~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 .lut_mask = 16'hF5A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y14_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_ram_shift_load~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:attribute_mem_gen:attribute_mem|xq [0]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|_~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y15_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|collect_data~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|final_trigger_set~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|Equal2~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 .lut_mask = 16'h0444;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~0_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|base_address~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|segment_shift_var~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1 .lut_mask = 16'hF2F0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y15_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|run~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:done~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|condition_delay_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 .lut_mask = 16'h0200;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~2_combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~7_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~12_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~11_combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~10_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~9_combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h33CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y13_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|crc_rom_sr_ena~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][1]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset .lut_mask = 16'hFDFF;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0 .lut_mask = 16'h55AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_1 [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0 .lut_mask = 16'h2000;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita2~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita3~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [5]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita4~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 .lut_mask = 16'h0100;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~0_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 .lut_mask = 16'h4CCC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0 .lut_mask = 16'h0F0F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita5~0_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0 .lut_mask = 16'hF3F3;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_comb_bita1~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[5]~0_combout ),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|Equal0~0_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|adv_point_3_and_more:advance_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena .lut_mask = 16'hCCEC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita0~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita1~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita2~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita3~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita4~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita5~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita6~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita7~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita8~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9 .lut_mask = 16'h3C3F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita9~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10 .lut_mask = 16'hC30C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita10~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11~combout ),
|
|
.cout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11~COUT ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11 .lut_mask = 16'h5A5F;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y13_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita12 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12]),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita11~COUT ),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita12~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita12 .lut_mask = 16'hC3C3;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita12 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y13_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_comb_bita12~combout ),
|
|
.asdata(\auto_signaltap_0|~GND~combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|acq_buf_read_reset~combout ),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter_clk_ena~combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y7_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][3]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_first_bit_number = 3;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_first_bit_number = 3;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N25
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y8_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y8_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][4]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][4]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y5_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][4]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y5_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][4]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_first_bit_number = 4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_first_bit_number = 4;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N17
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [7]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y11_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][7]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y11_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][7]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y3_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][7]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y3_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y3_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][7]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_first_bit_number = 7;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_first_bit_number = 7;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[15]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[15]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [7]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [15]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][15]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][15]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][15]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y19_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][15]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_first_bit_number = 15;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_first_bit_number = 15;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N23
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\str_end~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_data_in_reg [17]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][17]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][17]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y11_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][17]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y11_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y15_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][17]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_first_bit_number = 17;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_first_bit_number = 17;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[18]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[18]~feeder_combout = \uart0|rx_data [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[18]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[18]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[18]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N19
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[18]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [18]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][18]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][18]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][18]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y12_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][18]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_first_bit_number = 18;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_first_bit_number = 18;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[20]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[20]~feeder_combout = \uart0|rx_data [2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[20]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[20]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[20]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N11
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[20]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [20]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][20]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][20]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y21_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][20]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y21_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y21_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][20]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_first_bit_number = 20;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_first_bit_number = 20;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N3
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [3]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [21]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][21]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][21]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][21]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y16_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][21]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_first_bit_number = 21;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_first_bit_number = 21;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[23]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[23]~feeder_combout = \uart0|rx_data [5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[23]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[23]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[23]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N19
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[23]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [23]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][23]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][23]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][23]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y17_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y18_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][23]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_first_bit_number = 23;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_first_bit_number = 23;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[28]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[28]~feeder_combout = tx_data[1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[28]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[28]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[28]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N29
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[28] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[28]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [28]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [28]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][28]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][28]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][28]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y5_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][28]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_first_bit_number = 28;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_first_bit_number = 28;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[30]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[30]~feeder_combout = tx_data[3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[30]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[30]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[30]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N17
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[30] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[30]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [30]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [30]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][30]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][30]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][30]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y12_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][30]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_first_bit_number = 30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_first_bit_number = 30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N27
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[35] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|tx_done~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [35]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_data_in_reg [35]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y10_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][35]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][35]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][35]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y3_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][35]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_first_bit_number = 35;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_first_bit_number = 35;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a35~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 .lut_mask = 16'hFFAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[35] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~35_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [35]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[35] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[35] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[34]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[34]~feeder_combout = tx_data[7]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[7]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[34]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[34]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[34]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N27
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[34] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[34]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [34]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_data_in_reg [34]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y13_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][34]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y13_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][34]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y2_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][34]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y2_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y2_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][34]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_first_bit_number = 34;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_first_bit_number = 34;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [35]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a34~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 .lut_mask = 16'hF5A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[34] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~34_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [34]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[34] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[34] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N27
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[33] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [33]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_data_in_reg [33]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y11_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][33]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y11_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][33]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y11_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][33]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y11_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y4_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][33]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_first_bit_number = 33;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_first_bit_number = 33;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [34]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a33~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[33] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~33_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [33]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[33] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[33] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[32]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[32]~feeder_combout = tx_data[5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[32]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[32]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N29
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[32] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[32]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [32]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [32]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][32]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][32]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][32]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y7_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][32]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_first_bit_number = 32;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_first_bit_number = 32;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [33]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a32~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[32] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~32_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [32]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[32] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[32] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[31]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[31]~feeder_combout = tx_data[4]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[4]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[31]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[31]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[31]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N19
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[31] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[31]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [31]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [31]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][31]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y15_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][31]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y15_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][31]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y16_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][31]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_first_bit_number = 31;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_first_bit_number = 31;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [32]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a31~portbdataout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 .lut_mask = 16'hAACC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[31] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~31_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [31]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[31] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[31] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a30~portbdataout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [31]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 .lut_mask = 16'hCCAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[30] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~30_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [30]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[30] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[30] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[29]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[29]~feeder_combout = tx_data[2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[29]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[29]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N19
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[29] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[29]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [29]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [29]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][29]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][29]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y14_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][29]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y14_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y9_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][29]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_first_bit_number = 29;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_first_bit_number = 29;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [30]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a29~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[29] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~29_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [29]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[29] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[29] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a28~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [29]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 .lut_mask = 16'hFA50;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[28] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~28_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [28]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[28] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[28] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y9_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[27]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[27]~feeder_combout = tx_data[0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[27]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[27]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[27]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N25
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[27] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[27]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [27]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [27]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][27]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y9_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][27]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y9_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][27]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y9_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y9_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][27]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_first_bit_number = 27;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_first_bit_number = 27;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [28]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a27~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[27] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~27_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [27]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[27] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[27] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y11_N13
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_done~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y11_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [26]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y11_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y11_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][26]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y11_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y11_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][26]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y11_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][26]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y11_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][26]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_first_bit_number = 26;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_first_bit_number = 26;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [27]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a26~portbdataout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 .lut_mask = 16'hAACC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[26] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~26_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N11
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [7]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y11_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y11_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][25]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X14_Y11_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][25]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y6_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][25]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y6_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y6_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][25]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_first_bit_number = 25;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_first_bit_number = 25;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [26]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a25~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[25] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[24]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[24]~feeder_combout = \uart0|rx_data [6]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[24]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[24]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N21
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[24]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [24]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][24]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y14_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][24]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y14_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][24]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y13_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][24]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_first_bit_number = 24;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_first_bit_number = 24;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [25]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a24~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[24] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~24_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a23~portbdataout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [24]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 .lut_mask = 16'hCCAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[23] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N11
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\uart0|rx_data [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y8_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [22]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y8_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][22]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y8_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][22]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y8_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][22]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y8_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y8_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][22]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_first_bit_number = 22;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_first_bit_number = 22;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [23]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a22~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[22] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a21~portbdataout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [22]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21 .lut_mask = 16'hF0AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[21] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a20~portbdataout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [21]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[20] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[19]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[19]~feeder_combout = \uart0|rx_data [1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[19]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[19]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[19]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N11
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[19]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [19]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][19]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][19]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][19]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y20_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][19]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_first_bit_number = 19;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_first_bit_number = 19;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [20]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a19~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[19] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a18~portbdataout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [19]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 .lut_mask = 16'hF0AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[18] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a17~portbdataout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [18]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 .lut_mask = 16'hCCAA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[17] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\str_en~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y10_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [16]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y10_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][16]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y10_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][16]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y10_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][16]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y10_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y10_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][16]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_first_bit_number = 16;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_first_bit_number = 16;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [17]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a16~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[16] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a15~portbdataout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [16]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 .lut_mask = 16'hF0CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [14]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][14]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][14]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y14_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][14]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y14_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y17_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][14]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_first_bit_number = 14;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_first_bit_number = 14;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [15]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a14~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[13]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[13]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[13]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[13]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[13]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N3
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[13]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [13]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][13]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y11_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][13]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y11_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y18_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][13]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y18_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y18_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][13]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_first_bit_number = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_first_bit_number = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [14]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a13~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N23
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y9_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][12]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y9_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][12]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X28_Y11_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][12]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y15_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][12]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_first_bit_number = 12;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_first_bit_number = 12;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [13]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a12~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 .lut_mask = 16'hF5A0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[11]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[11]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [3]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N19
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][11]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][11]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][11]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y14_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][11]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_first_bit_number = 11;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_first_bit_number = 11;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [12]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a11~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[10]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[10]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N9
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_data_in_reg [10]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][10]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y9_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][10]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y9_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y9_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][10]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y9_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y20_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][10]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_first_bit_number = 10;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_first_bit_number = 10;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [11]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a10~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N27
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [1]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|acq_data_in_reg [9]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][9]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][9]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y13_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][9]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y13_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y17_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][9]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_first_bit_number = 9;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_first_bit_number = 9;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [10]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a9~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y10_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[8]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[8]~feeder_combout = \ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y10_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y10_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y10_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y10_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y10_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y10_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X17_Y10_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y10_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y10_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y10_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][8]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_first_bit_number = 8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_first_bit_number = 8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [9]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a8~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a7~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 .lut_mask = 16'hFA50;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[6]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[6]~feeder_combout = \uart0|rx_data [6]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N29
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y16_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y16_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X25_Y19_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][6]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y19_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y19_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X26_Y19_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X26_Y19_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X27_Y19_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][6]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_first_bit_number = 6;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_first_bit_number = 6;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X19_Y17_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [7]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a6~portbdataout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X19_Y17_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[5]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[5]~feeder_combout = \uart0|rx_data [5]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y17_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y17_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y13_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][5]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_first_bit_number = 5;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_first_bit_number = 5;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y13_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [6]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a5~portbdataout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y13_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y9_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a4~portbdataout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [5]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 .lut_mask = 16'hE2E2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y9_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y9_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a3~portbdataout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [4]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 .lut_mask = 16'hCACA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y9_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y14_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[2]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[2]~feeder_combout = \uart0|rx_data [2]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y14_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y14_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y14_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y6_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y6_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y6_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][2]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y6_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y6_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][2]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_first_bit_number = 2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_first_bit_number = 2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y9_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [3]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a2~portbdataout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 .lut_mask = 16'hB8B8;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y9_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[1]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[1]~feeder_combout = \uart0|rx_data [1]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][1]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y16_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y16_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y8_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y8_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y8_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][1]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_first_bit_number = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_first_bit_number = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y9_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [2]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a1~portbdataout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y9_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|acq_data_in_reg[0]~feeder (
|
|
// Equation(s):
|
|
// \auto_signaltap_0|acq_data_in_reg[0]~feeder_combout = \uart0|rx_data [0]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|rx_data [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|acq_data_in_reg[0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|acq_data_in_reg[0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N1
|
|
dffeas \auto_signaltap_0|acq_data_in_reg[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|acq_data_in_reg[0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|acq_data_in_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|acq_data_in_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|acq_data_in_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|acq_data_in_reg [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[0][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[1][0]~q ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X16_Y11_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[2][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X16_Y11_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: M9K_X15_Y11_N0
|
|
cycloneive_ram_block \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 (
|
|
.portawe(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.portare(vcc),
|
|
.portaaddrstall(gnd),
|
|
.portbwe(gnd),
|
|
.portbre(vcc),
|
|
.portbaddrstall(gnd),
|
|
.clk0(\uart0|rx_clk~clkctrl_outclk ),
|
|
.clk1(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.ena0(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_enable_delayed~q ),
|
|
.ena1(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.ena2(vcc),
|
|
.ena3(vcc),
|
|
.clr0(gnd),
|
|
.clr1(gnd),
|
|
.portadatain({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|acq_data_in_pipe_reg[3][0]~q }),
|
|
.portaaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [12],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [11],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [9],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [8],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [6],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [5],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [3],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [2],\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|buffer_write_address_delayed [0]}),
|
|
.portabyteenamasks(1'b1),
|
|
.portbdatain(1'b0),
|
|
.portbaddr({\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [12],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [11],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [10],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [9],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [8],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [7],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [6],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [5],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [4],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [3],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [2],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [1],
|
|
\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|read_pointer_counter|auto_generated|counter_reg_bit [0]}),
|
|
.portbbyteenamasks(1'b1),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.portadataout(),
|
|
.portbdataout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0_PORTBDATAOUT_bus ));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .clk0_core_clock_enable = "ena0";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .clk1_core_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .clk1_input_clock_enable = "ena1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .logical_ram_name = "sld_signaltap:auto_signaltap_0|sld_signaltap_impl:sld_signaltap_body|sld_signaltap_implb:sld_signaltap_body|altsyncram:\stp_non_zero_ram_gen:stp_buffer_ram|altsyncram_kb24:auto_generated|ALTSYNCRAM";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "dont_care";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .operation_mode = "dual_port";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_first_bit_number = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_address_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_address_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_address_width = 13;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_data_out_clear = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_data_out_clock = "none";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_data_width = 1;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_first_address = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_first_bit_number = 0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_last_address = 8191;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_logical_ram_width = 36;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_with_nbe_read";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock1";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0 .ram_block_type = "M9K";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X18_Y9_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [1]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_ram_gen:stp_buffer_ram|auto_generated|ram_block1a0~portbdataout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_shift_load~1_combout ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 .lut_mask = 16'hACAC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X18_Y9_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|_~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~26 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|ram_data_shift_out|dffs [0]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[12]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~26_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~26 .lut_mask = 16'hFC30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~26 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[26] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~26_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [26]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[26] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[26] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~25 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [26]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[11]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~25_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~25 .lut_mask = 16'hEE22;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~25 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[25] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~25_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [25]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[25] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[25] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~24 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[10]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [25]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~24_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~24 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~24 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[24] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~24_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [24]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[24] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[24] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~23 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[9]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [24]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~23_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~23 .lut_mask = 16'hCCF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~23 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[23] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~23_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [23]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[23] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[23] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~22 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [23]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[8]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~22_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~22 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~22 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[22] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~22_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [22]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[22] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[22] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~21 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [22]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[7]~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~21_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~21 .lut_mask = 16'hE2E2;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~21 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[21] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~21_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [21]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[21] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[21] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N2
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~20 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [21]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[6]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~20_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~20 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~20 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N3
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[20] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~20_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [20]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[20] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[20] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~19 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [20]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~19 .lut_mask = 16'hFC0C;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[19] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~19_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [19]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[19] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[19] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N14
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~18 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[4]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [19]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~18_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~18 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~18 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[18] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~18_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [18]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[18] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[18] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~17 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [18]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[3]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~17 .lut_mask = 16'hF0CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~17 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[17] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [17]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[17] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[17] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~16 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [17]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[2]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~16_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~16 .lut_mask = 16'hFA0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~16 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[16] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~16_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [16]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[16] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[16] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~15 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [16]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[1]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~15_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~15 .lut_mask = 16'hFA0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~15 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[15] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [15]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[15] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[15] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y14_N30
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|buffer_manager:last_trigger_address_var[0]~q ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [15]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14 .lut_mask = 16'hBB88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y14_N31
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[14] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [14]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[14] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[14] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~8_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N11
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [14]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 .lut_mask = 16'hFA0A;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[13] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [13]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[13] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[13] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N20
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [11]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [13]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N21
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[12] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [12]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[12] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[12] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [10]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [12]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N1
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[11] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [11]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[11] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[11] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [9]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [11]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N13
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[10] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [10]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[10] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[10] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y13_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [8]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 .lut_mask = 16'hCFC0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y13_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[9] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [9]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[9] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[9] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N16
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [7]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [9]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 .lut_mask = 16'hF3C0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N17
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[8] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~8_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [8]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[8] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[8] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~6_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [8]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [6]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[7] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[7] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~5_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N4
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [7]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 .lut_mask = 16'hFC30;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N5
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[6] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[6] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 (
|
|
.dataa(gnd),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [6]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [4]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 .lut_mask = 16'hF0CC;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N27
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[5] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N15
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[3] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~3_combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N8
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [5]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [3]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 .lut_mask = 16'hF0AA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N9
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~4_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[4] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N6
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [2]),
|
|
.datab(gnd),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [4]),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 .lut_mask = 16'hAAF0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N7
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~3_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[3] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y12_N22
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder .lut_mask = 16'hFF00;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N23
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N28
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [3]),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 .lut_mask = 16'hEE22;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N29
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[2] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] (
|
|
.clk(\uart0|rx_clk~clkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|offset_count~0_combout ),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0]~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N18
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [2]),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|last_buffer_write_address_sig [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 .lut_mask = 16'hEE44;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N19
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~1_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y12_N24
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sdr~combout ),
|
|
.datab(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|sld_buffer_manager_inst|is_buffer_wrapped_once_sig~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [1]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 .lut_mask = 16'hDD88;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y12_N25
|
|
dffeas \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|_~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|reset_all~clkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] .is_wysiwyg = "true";
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N10
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~1 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|status_data_shift_out|dffs [0]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|stp_non_zero_depth_offload_gen:stp_offload_buff_mgr_inst|info_data_shift_out|dffs [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~1 .lut_mask = 16'hB888;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N26
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][4]~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][7]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~3_combout ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~4 .lut_mask = 16'hFF10;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X11_Y12_N12
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~5 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][8]~q ),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~4_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][3]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~5 .lut_mask = 16'hAABA;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y18_N0
|
|
cycloneive_lcell_comb \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_crc_val_shift_reg [0]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[2][9]~q ),
|
|
.datad(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~5_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6 .lut_mask = 16'hAFA0;
|
|
defparam \auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y16_N24
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~0 (
|
|
.dataa(\altera_internal_jtag~TDIUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~0 .lut_mask = 16'hB8B8;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y16_N25
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~7 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [5]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~7 .lut_mask = 16'hFC0C;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N19
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][0]~2_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~13 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_mode_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_irf_reg[1][5]~q ),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [5]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~13 .lut_mask = 16'hDD88;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y19_N21
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg~13_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~26_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5]~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N10
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~0 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|bypass_reg_out~q ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_data_reg [0]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|process_1~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][5]~q ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~0 .lut_mask = 16'h0CAC;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N12
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~7 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~7_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~8 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~7 .lut_mask = 16'h55AA;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N14
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~9 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~8 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~9_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~10 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~9 .lut_mask = 16'h3C3F;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~9 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N8
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0 (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0 .lut_mask = 16'h0F00;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N24
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal .lut_mask = 16'hC0C0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N22
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14 .lut_mask = 16'hFF08;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y13_N15
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~9_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N16
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~11 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[1]~10 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~11_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~12 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~11 .lut_mask = 16'hC30C;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~11 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y13_N17
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~11_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N18
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~15 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.datac(gnd),
|
|
.datad(vcc),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[2]~12 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~15_combout ),
|
|
.cout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~16 ));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~15 .lut_mask = 16'h3C3F;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~15 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y13_N19
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~15_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N20
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4]~17 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[3]~16 ),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4]~17_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4]~17 .lut_mask = 16'hC3C3;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4]~17 .sum_lutc_input = "cin";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y13_N21
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4]~17_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N30
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~13 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~13 .lut_mask = 16'hFFBF;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N28
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~13_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19 .lut_mask = 16'hD5C0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y13_N13
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~7_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~19_combout ),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0]~14_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N6
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~5 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~5 .lut_mask = 16'h0001;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N4
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~4 (
|
|
.dataa(gnd),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~4 .lut_mask = 16'h30CC;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N2
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~0 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~0 .lut_mask = 16'hAAC8;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N26
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~9 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~9 .lut_mask = 16'hFA89;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N8
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~11 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~11_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~11 .lut_mask = 16'hAFC9;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~11 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N10
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~13 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [4]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [1]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~13_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~13 .lut_mask = 16'h0001;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~13 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N26
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [0]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1 .lut_mask = 16'h0700;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N12
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2 .lut_mask = 16'h7070;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N8
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~14 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~13_combout ),
|
|
.datab(\altera_internal_jtag~TDIUTAP ),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~14_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~14 .lut_mask = 16'hECA0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~14 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N18
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_incr_addr~0_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7 .lut_mask = 16'hFFA8;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y13_N9
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~14_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[3] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N0
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [2]),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|word_counter [3]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|clear_signal~combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8 .lut_mask = 16'h0009;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N20
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~12 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~11_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [3]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~12_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~12 .lut_mask = 16'hECA0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~12 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y13_N21
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[2] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~12_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[2] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N0
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~10 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~9_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [2]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~8_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~10 .lut_mask = 16'hECA0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X24_Y13_N1
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X24_Y13_N6
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~3 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~0_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [1]),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~1_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~3 .lut_mask = 16'hECA0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X23_Y13_N24
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~6 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~5_combout ),
|
|
.datab(gnd),
|
|
.datac(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~4_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~6 .lut_mask = 16'hFFA0;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X23_Y13_N25
|
|
dffeas \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR~6_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[1]~7_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[0] .is_wysiwyg = "true";
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y19_N4
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1 (
|
|
.dataa(\ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~0_combout ),
|
|
.datab(\ram0|altsyncram_component|auto_generated|mgl_prim2|ram_rom_logic_gen:name_gen:info_rom_sr|WORD_SR [0]),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][0]~q ),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1 .lut_mask = 16'hCCAA;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datac(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3 .lut_mask = 16'hE2C0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~2_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~3_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4 .lut_mask = 16'h3020;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y19_N7
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[1] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[1] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X12_Y19_N21
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[0] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|reset_ena_reg_proc~0_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[0] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N20
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg [1]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [0]),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg [0]),
|
|
.datad(\ram0|altsyncram_component|auto_generated|mgl_prim2|adapted_tdo~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5 .lut_mask = 16'h5404;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6 (
|
|
.dataa(\auto_signaltap_0|sld_signaltap_body|sld_signaltap_body|tdo_internal~6_combout ),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_tdo_sel_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~5_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6 .lut_mask = 16'hFFA0;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~6_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [8]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7 .lut_mask = 16'hFF80;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N18
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8 (
|
|
.dataa(gnd),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [1]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [2]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8 .lut_mask = 16'h3033;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y19_N22
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~9 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~8_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal3~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|hub_info_reg_ena~0_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|Equal11~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~9_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~9 .lut_mask = 16'h0F2F;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~9 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N24
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [4]),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~q ),
|
|
.datad(\altera_internal_jtag~TDIUTAP ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0 .lut_mask = 16'hFA50;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y17_N25
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~0_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X13_Y17_N26
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~10 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~4_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~7_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~9_combout ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_bypass_reg~q ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~10_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~10 .lut_mask = 16'hFEEE;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~10 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X13_Y17_N27
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo (
|
|
.clk(!\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo_mux_out~10_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|tdo .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: CLKCTRL_G1
|
|
cycloneive_clkctrl \altera_internal_jtag~TCKUTAPclkctrl (
|
|
.ena(vcc),
|
|
.inclk({vcc,vcc,vcc,\altera_internal_jtag~TCKUTAP }),
|
|
.clkselect(2'b00),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.outclk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ));
|
|
// synopsys translate_off
|
|
defparam \altera_internal_jtag~TCKUTAPclkctrl .clock_type = "global clock";
|
|
defparam \altera_internal_jtag~TCKUTAPclkctrl .ena_register_mode = "none";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N6
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~1 (
|
|
.dataa(\altera_internal_jtag~TDIUTAP ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~1 .lut_mask = 16'hBF33;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X10_Y17_N8
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~2 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~1_combout ),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena_proc~0_combout ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [11]),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irsr_reg [10]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~2 .lut_mask = 16'h4544;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X10_Y17_N9
|
|
dffeas \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0[3] (
|
|
.clk(\altera_internal_jtag~TCKUTAPclkctrl_outclk ),
|
|
.d(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~2_combout ),
|
|
.asdata(vcc),
|
|
.clrn(!\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|node_ena~4_combout ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0[3] .is_wysiwyg = "true";
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X14_Y17_N28
|
|
cycloneive_lcell_comb \ram0|altsyncram_component|auto_generated|mgl_prim2|enable_write~0 (
|
|
.dataa(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|splitter_nodes_receive_0 [3]),
|
|
.datab(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|virtual_ir_scan_reg~q ),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|irf_reg[1][2]~q ),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [5]),
|
|
.cin(gnd),
|
|
.combout(\ram0|altsyncram_component|auto_generated|mgl_prim2|enable_write~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|enable_write~0 .lut_mask = 16'h2000;
|
|
defparam \ram0|altsyncram_component|auto_generated|mgl_prim2|enable_write~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y12_N11
|
|
dffeas \tx_data[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(\ram0|altsyncram_component|auto_generated|altsyncram1|q_a [7]),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(tx_done_r[1]),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(tx_data[7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \tx_data[7] .is_wysiwyg = "true";
|
|
defparam \tx_data[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N24
|
|
cycloneive_lcell_comb \uart0|tx_data_r[7]~feeder (
|
|
// Equation(s):
|
|
// \uart0|tx_data_r[7]~feeder_combout = tx_data[7]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[7]),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_data_r[7]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[7]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|tx_data_r[7]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N25
|
|
dffeas \uart0|tx_data_r[7] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_data_r[7]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [7]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[7] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[7] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N19
|
|
dffeas \uart0|tx_data_r[6] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[6]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [6]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[6] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[6] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N18
|
|
cycloneive_lcell_comb \uart0|tx~0 (
|
|
// Equation(s):
|
|
// \uart0|tx~0_combout = (\uart0|tx_cnt [2]) # ((\uart0|tx_cnt [0] & (\uart0|tx_data_r [7])) # (!\uart0|tx_cnt [0] & ((\uart0|tx_data_r [6]))))
|
|
|
|
.dataa(\uart0|tx_data_r [7]),
|
|
.datab(\uart0|tx_cnt [2]),
|
|
.datac(\uart0|tx_data_r [6]),
|
|
.datad(\uart0|tx_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx~0 .lut_mask = 16'hEEFC;
|
|
defparam \uart0|tx~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y10_N2
|
|
cycloneive_lcell_comb \uart0|tx_clk~feeder (
|
|
// Equation(s):
|
|
// \uart0|tx_clk~feeder_combout = \uart0|Equal0~4_combout
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\uart0|Equal0~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_clk~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_clk~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|tx_clk~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y10_N3
|
|
dffeas \uart0|tx_clk (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_clk~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_clk~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_clk .is_wysiwyg = "true";
|
|
defparam \uart0|tx_clk .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N30
|
|
cycloneive_lcell_comb \uart0|tx~1 (
|
|
// Equation(s):
|
|
// \uart0|tx~1_combout = (\uart0|tx_cnt [3] & (\uart0|tx_clk~q & ((\uart0|tx~0_combout ) # (\uart0|tx_cnt [1]))))
|
|
|
|
.dataa(\uart0|tx_cnt [3]),
|
|
.datab(\uart0|tx~0_combout ),
|
|
.datac(\uart0|tx_clk~q ),
|
|
.datad(\uart0|tx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx~1 .lut_mask = 16'hA080;
|
|
defparam \uart0|tx~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N23
|
|
dffeas \uart0|tx_data_r[1] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[1]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [1]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[1] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[1] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N21
|
|
dffeas \uart0|tx_data_r[0] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[0]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [0]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[0] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[0] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N20
|
|
cycloneive_lcell_comb \uart0|tx~2 (
|
|
// Equation(s):
|
|
// \uart0|tx~2_combout = (!\uart0|tx_cnt [0] & ((\uart0|tx_cnt [1] & ((\uart0|tx_data_r [0]))) # (!\uart0|tx_cnt [1] & (!\uart0|tx_cnt [3]))))
|
|
|
|
.dataa(\uart0|tx_cnt [3]),
|
|
.datab(\uart0|tx_cnt [0]),
|
|
.datac(\uart0|tx_data_r [0]),
|
|
.datad(\uart0|tx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx~2_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx~2 .lut_mask = 16'h3011;
|
|
defparam \uart0|tx~2 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N22
|
|
cycloneive_lcell_comb \uart0|tx~3 (
|
|
// Equation(s):
|
|
// \uart0|tx~3_combout = (\uart0|tx~2_combout ) # ((\uart0|tx_cnt [1] & (\uart0|tx_cnt [0] & \uart0|tx_data_r [1])))
|
|
|
|
.dataa(\uart0|tx_cnt [1]),
|
|
.datab(\uart0|tx_cnt [0]),
|
|
.datac(\uart0|tx_data_r [1]),
|
|
.datad(\uart0|tx~2_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx~3_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx~3 .lut_mask = 16'hFF80;
|
|
defparam \uart0|tx~3 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N16
|
|
cycloneive_lcell_comb \uart0|tx_data_r[3]~feeder (
|
|
// Equation(s):
|
|
// \uart0|tx_data_r[3]~feeder_combout = tx_data[3]
|
|
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(tx_data[3]),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx_data_r[3]~feeder_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[3]~feeder .lut_mask = 16'hFF00;
|
|
defparam \uart0|tx_data_r[3]~feeder .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N17
|
|
dffeas \uart0|tx_data_r[3] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx_data_r[3]~feeder_combout ),
|
|
.asdata(vcc),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [3]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[3] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[3] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y11_N3
|
|
dffeas \uart0|tx_data_r[2] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[2]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [2]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[2] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[2] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N2
|
|
cycloneive_lcell_comb \uart0|Mux0~0 (
|
|
// Equation(s):
|
|
// \uart0|Mux0~0_combout = (\uart0|tx_cnt [1] & (((\uart0|tx_cnt [0])))) # (!\uart0|tx_cnt [1] & ((\uart0|tx_cnt [0] & (\uart0|tx_data_r [3])) # (!\uart0|tx_cnt [0] & ((\uart0|tx_data_r [2])))))
|
|
|
|
.dataa(\uart0|tx_cnt [1]),
|
|
.datab(\uart0|tx_data_r [3]),
|
|
.datac(\uart0|tx_data_r [2]),
|
|
.datad(\uart0|tx_cnt [0]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Mux0~0_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Mux0~0 .lut_mask = 16'hEE50;
|
|
defparam \uart0|Mux0~0 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y11_N1
|
|
dffeas \uart0|tx_data_r[4] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[4]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [4]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[4] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[4] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X22_Y11_N27
|
|
dffeas \uart0|tx_data_r[5] (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(gnd),
|
|
.asdata(tx_data[5]),
|
|
.clrn(vcc),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(vcc),
|
|
.ena(\uart0|tx_en~q ),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx_data_r [5]),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx_data_r[5] .is_wysiwyg = "true";
|
|
defparam \uart0|tx_data_r[5] .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X22_Y11_N26
|
|
cycloneive_lcell_comb \uart0|Mux0~1 (
|
|
// Equation(s):
|
|
// \uart0|Mux0~1_combout = (\uart0|Mux0~0_combout & (((\uart0|tx_data_r [5]) # (!\uart0|tx_cnt [1])))) # (!\uart0|Mux0~0_combout & (\uart0|tx_data_r [4] & ((\uart0|tx_cnt [1]))))
|
|
|
|
.dataa(\uart0|Mux0~0_combout ),
|
|
.datab(\uart0|tx_data_r [4]),
|
|
.datac(\uart0|tx_data_r [5]),
|
|
.datad(\uart0|tx_cnt [1]),
|
|
.cin(gnd),
|
|
.combout(\uart0|Mux0~1_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|Mux0~1 .lut_mask = 16'hE4AA;
|
|
defparam \uart0|Mux0~1 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y11_N4
|
|
cycloneive_lcell_comb \uart0|tx~4 (
|
|
// Equation(s):
|
|
// \uart0|tx~4_combout = (\uart0|tx_cnt [2] & ((\uart0|Mux0~1_combout ))) # (!\uart0|tx_cnt [2] & (\uart0|tx~3_combout ))
|
|
|
|
.dataa(gnd),
|
|
.datab(\uart0|tx_cnt [2]),
|
|
.datac(\uart0|tx~3_combout ),
|
|
.datad(\uart0|Mux0~1_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx~4_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx~4 .lut_mask = 16'hFC30;
|
|
defparam \uart0|tx~4 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X21_Y10_N24
|
|
cycloneive_lcell_comb \uart0|tx~5 (
|
|
// Equation(s):
|
|
// \uart0|tx~5_combout = (!\uart0|tx~1_combout & ((\uart0|tx_clk~q & ((!\uart0|tx~4_combout ))) # (!\uart0|tx_clk~q & (\uart0|tx~q ))))
|
|
|
|
.dataa(\uart0|tx~1_combout ),
|
|
.datab(\uart0|tx_clk~q ),
|
|
.datac(\uart0|tx~q ),
|
|
.datad(\uart0|tx~4_combout ),
|
|
.cin(gnd),
|
|
.combout(\uart0|tx~5_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \uart0|tx~5 .lut_mask = 16'h1054;
|
|
defparam \uart0|tx~5 .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: FF_X21_Y10_N25
|
|
dffeas \uart0|tx (
|
|
.clk(\clk~inputclkctrl_outclk ),
|
|
.d(\uart0|tx~5_combout ),
|
|
.asdata(vcc),
|
|
.clrn(\rst_n~inputclkctrl_outclk ),
|
|
.aload(gnd),
|
|
.sclr(gnd),
|
|
.sload(gnd),
|
|
.ena(vcc),
|
|
.devclrn(devclrn),
|
|
.devpor(devpor),
|
|
.q(\uart0|tx~q ),
|
|
.prn(vcc));
|
|
// synopsys translate_off
|
|
defparam \uart0|tx .is_wysiwyg = "true";
|
|
defparam \uart0|tx .power_up = "low";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X33_Y6_N0
|
|
cycloneive_lcell_comb \auto_hub|~GND (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|~GND~combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|~GND .lut_mask = 16'h0000;
|
|
defparam \auto_hub|~GND .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X17_Y19_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~_wirecell (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~q ),
|
|
.datad(gnd),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~_wirecell_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~_wirecell .lut_mask = 16'h0F0F;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|clr_reg~_wirecell .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
// Location: LCCOMB_X12_Y17_N0
|
|
cycloneive_lcell_comb \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0]~_wirecell (
|
|
.dataa(gnd),
|
|
.datab(gnd),
|
|
.datac(gnd),
|
|
.datad(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state [0]),
|
|
.cin(gnd),
|
|
.combout(\auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0]~_wirecell_combout ),
|
|
.cout());
|
|
// synopsys translate_off
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0]~_wirecell .lut_mask = 16'h00FF;
|
|
defparam \auto_hub|instrumentation_fabric_with_node_gen:fabric_gen_new_way:with_jtag_input_gen:instrumentation_fabric|instrumentation_fabric|alt_sld_fab|sldfabric|jtag_hub_gen:real_sld_jtag_hub|shadow_jsm|state[0]~_wirecell .sum_lutc_input = "datac";
|
|
// synopsys translate_on
|
|
|
|
endmodule
|