This repository has been archived on 2024-02-21. You can view files and clone it, but cannot push or open issues or pull requests.
2020-06-09 15:48:03 +08:00

47 lines
497 B
Coq

`timescale 1ns/1ns
`define clk_period 20
module uart_tx_tb;
reg [1:0] baud_set;
reg clk;
reg [7:0] data;
reg en;
reg rst_n;
wire tx;
wire tx_done;
uart_tx i1 (
.baud_set(baud_set),
.clk(clk),
.data(data),
.en(en),
.rst_n(rst_n),
.tx(tx),
.tx_done(tx_done)
);
//generater clock
initial clk = 1;
always #(`clk_period/2)clk = ~clk;
integer i;
initial begin
$stop;
end
endmodule