mirror of
https://github.com/alexforencich/verilog-ethernet.git
synced 2025-01-14 06:43:18 +08:00
Add IP modules (8 bit datapath)
This commit is contained in:
parent
4ad302949f
commit
4bee0542b7
626
rtl/ip_eth_rx.v
Normal file
626
rtl/ip_eth_rx.v
Normal file
@ -0,0 +1,626 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* IP ethernet frame receiver (Ethernet frame in, IP frame out)
|
||||
*/
|
||||
module ip_eth_rx
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* Ethernet frame input
|
||||
*/
|
||||
input wire input_eth_hdr_valid,
|
||||
output wire input_eth_hdr_ready,
|
||||
input wire [47:0] input_eth_dest_mac,
|
||||
input wire [47:0] input_eth_src_mac,
|
||||
input wire [15:0] input_eth_type,
|
||||
input wire [7:0] input_eth_payload_tdata,
|
||||
input wire input_eth_payload_tvalid,
|
||||
output wire input_eth_payload_tready,
|
||||
input wire input_eth_payload_tlast,
|
||||
input wire input_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* IP frame output
|
||||
*/
|
||||
output wire output_ip_hdr_valid,
|
||||
input wire output_ip_hdr_ready,
|
||||
output wire [47:0] output_eth_dest_mac,
|
||||
output wire [47:0] output_eth_src_mac,
|
||||
output wire [15:0] output_eth_type,
|
||||
output wire [3:0] output_ip_version,
|
||||
output wire [3:0] output_ip_ihl,
|
||||
output wire [5:0] output_ip_dscp,
|
||||
output wire [1:0] output_ip_ecn,
|
||||
output wire [15:0] output_ip_length,
|
||||
output wire [15:0] output_ip_identification,
|
||||
output wire [2:0] output_ip_flags,
|
||||
output wire [12:0] output_ip_fragment_offset,
|
||||
output wire [7:0] output_ip_ttl,
|
||||
output wire [7:0] output_ip_protocol,
|
||||
output wire [15:0] output_ip_header_checksum,
|
||||
output wire [31:0] output_ip_source_ip,
|
||||
output wire [31:0] output_ip_dest_ip,
|
||||
output wire [7:0] output_ip_payload_tdata,
|
||||
output wire output_ip_payload_tvalid,
|
||||
input wire output_ip_payload_tready,
|
||||
output wire output_ip_payload_tlast,
|
||||
output wire output_ip_payload_tuser,
|
||||
|
||||
/*
|
||||
* Status signals
|
||||
*/
|
||||
output wire busy,
|
||||
output wire error_header_early_termination,
|
||||
output wire error_payload_early_termination,
|
||||
output wire error_invalid_header,
|
||||
output wire error_invalid_checksum
|
||||
);
|
||||
|
||||
/*
|
||||
|
||||
IP Frame
|
||||
|
||||
Field Length
|
||||
Destination MAC address 6 octets
|
||||
Source MAC address 6 octets
|
||||
Ethertype (0x0800) 2 octets
|
||||
Version (4) 4 bits
|
||||
IHL (5-15) 4 bits
|
||||
DSCP (0) 6 bits
|
||||
ECN (0) 2 bits
|
||||
length 2 octets
|
||||
identification (0?) 2 octets
|
||||
flags (010) 3 bits
|
||||
fragment offset (0) 13 bits
|
||||
time to live (64?) 1 octet
|
||||
protocol 1 octet
|
||||
header checksum 2 octets
|
||||
source IP 4 octets
|
||||
destination IP 4 octets
|
||||
options (IHL-5)*4 octets
|
||||
payload length octets
|
||||
|
||||
This module receives an Ethernet frame with decoded fields and decodes
|
||||
the AXI packet format. If the Ethertype does not match, the packet is
|
||||
discarded.
|
||||
|
||||
*/
|
||||
|
||||
localparam [2:0]
|
||||
STATE_IDLE = 3'd0,
|
||||
STATE_READ_HEADER = 3'd1,
|
||||
STATE_READ_PAYLOAD_IDLE = 3'd2,
|
||||
STATE_READ_PAYLOAD_TRANSFER = 3'd3,
|
||||
STATE_READ_PAYLOAD_TRANSFER_WAIT = 3'd4,
|
||||
STATE_READ_PAYLOAD_TRANSFER_LAST = 3'd5,
|
||||
STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST = 3'd6,
|
||||
STATE_WAIT_LAST = 3'd7;
|
||||
|
||||
reg [2:0] state_reg = STATE_IDLE, state_next;
|
||||
|
||||
// datapath control signals
|
||||
reg store_eth_hdr;
|
||||
reg store_ip_version_ihl;
|
||||
reg store_ip_dscp_ecn;
|
||||
reg store_ip_length_0;
|
||||
reg store_ip_length_1;
|
||||
reg store_ip_identification_0;
|
||||
reg store_ip_identification_1;
|
||||
reg store_ip_flags_fragment_offset_0;
|
||||
reg store_ip_flags_fragment_offset_1;
|
||||
reg store_ip_ttl;
|
||||
reg store_ip_protocol;
|
||||
reg store_ip_header_checksum_0;
|
||||
reg store_ip_header_checksum_1;
|
||||
reg store_ip_source_ip_0;
|
||||
reg store_ip_source_ip_1;
|
||||
reg store_ip_source_ip_2;
|
||||
reg store_ip_source_ip_3;
|
||||
reg store_ip_dest_ip_0;
|
||||
reg store_ip_dest_ip_1;
|
||||
reg store_ip_dest_ip_2;
|
||||
reg store_ip_dest_ip_3;
|
||||
|
||||
reg transfer_in_out;
|
||||
reg transfer_in_temp;
|
||||
reg transfer_temp_out;
|
||||
|
||||
reg assert_tlast;
|
||||
reg assert_tuser;
|
||||
|
||||
reg [15:0] frame_ptr_reg = 0, frame_ptr_next;
|
||||
|
||||
reg [15:0] hdr_sum_reg = 0, hdr_sum_next;
|
||||
|
||||
reg input_eth_hdr_ready_reg = 0;
|
||||
reg input_eth_payload_tready_reg = 0;
|
||||
|
||||
reg output_ip_hdr_valid_reg = 0, output_ip_hdr_valid_next;
|
||||
reg [47:0] output_eth_dest_mac_reg = 0;
|
||||
reg [47:0] output_eth_src_mac_reg = 0;
|
||||
reg [15:0] output_eth_type_reg = 0;
|
||||
reg [3:0] output_ip_version_reg = 0;
|
||||
reg [3:0] output_ip_ihl_reg = 0;
|
||||
reg [5:0] output_ip_dscp_reg = 0;
|
||||
reg [1:0] output_ip_ecn_reg = 0;
|
||||
reg [15:0] output_ip_length_reg = 0;
|
||||
reg [15:0] output_ip_identification_reg = 0;
|
||||
reg [2:0] output_ip_flags_reg = 0;
|
||||
reg [12:0] output_ip_fragment_offset_reg = 0;
|
||||
reg [7:0] output_ip_ttl_reg = 0;
|
||||
reg [7:0] output_ip_protocol_reg = 0;
|
||||
reg [15:0] output_ip_header_checksum_reg = 0;
|
||||
reg [31:0] output_ip_source_ip_reg = 0;
|
||||
reg [31:0] output_ip_dest_ip_reg = 0;
|
||||
reg [7:0] output_ip_payload_tdata_reg = 0;
|
||||
reg output_ip_payload_tvalid_reg = 0;
|
||||
reg output_ip_payload_tlast_reg = 0;
|
||||
reg output_ip_payload_tuser_reg = 0;
|
||||
|
||||
reg busy_reg = 0;
|
||||
reg error_header_early_termination_reg = 0, error_header_early_termination_next;
|
||||
reg error_payload_early_termination_reg = 0, error_payload_early_termination_next;
|
||||
reg error_invalid_header_reg = 0, error_invalid_header_next;
|
||||
reg error_invalid_checksum_reg = 0, error_invalid_checksum_next;
|
||||
|
||||
reg [7:0] temp_ip_payload_tdata_reg = 0;
|
||||
reg temp_ip_payload_tlast_reg = 0;
|
||||
reg temp_ip_payload_tuser_reg = 0;
|
||||
|
||||
assign input_eth_hdr_ready = input_eth_hdr_ready_reg;
|
||||
assign input_eth_payload_tready = input_eth_payload_tready_reg;
|
||||
|
||||
assign output_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_eth_type = output_eth_type_reg;
|
||||
assign output_ip_hdr_valid = output_ip_hdr_valid_reg;
|
||||
assign output_ip_version = output_ip_version_reg;
|
||||
assign output_ip_ihl = output_ip_ihl_reg;
|
||||
assign output_ip_dscp = output_ip_dscp_reg;
|
||||
assign output_ip_ecn = output_ip_ecn_reg;
|
||||
assign output_ip_length = output_ip_length_reg;
|
||||
assign output_ip_identification = output_ip_identification_reg;
|
||||
assign output_ip_flags = output_ip_flags_reg;
|
||||
assign output_ip_fragment_offset = output_ip_fragment_offset_reg;
|
||||
assign output_ip_ttl = output_ip_ttl_reg;
|
||||
assign output_ip_protocol = output_ip_protocol_reg;
|
||||
assign output_ip_header_checksum = output_ip_header_checksum_reg;
|
||||
assign output_ip_source_ip = output_ip_source_ip_reg;
|
||||
assign output_ip_dest_ip = output_ip_dest_ip_reg;
|
||||
assign output_ip_payload_tdata = output_ip_payload_tdata_reg;
|
||||
assign output_ip_payload_tvalid = output_ip_payload_tvalid_reg;
|
||||
assign output_ip_payload_tlast = output_ip_payload_tlast_reg;
|
||||
assign output_ip_payload_tuser = output_ip_payload_tuser_reg;
|
||||
|
||||
assign busy = busy_reg;
|
||||
assign error_header_early_termination = error_header_early_termination_reg;
|
||||
assign error_payload_early_termination = error_payload_early_termination_reg;
|
||||
assign error_invalid_header = error_invalid_header_reg;
|
||||
assign error_invalid_checksum = error_invalid_checksum_reg;
|
||||
|
||||
function [15:0] add1c16b;
|
||||
parameter WIDTH = 16;
|
||||
input [15:0] a, b;
|
||||
reg [16:0] t;
|
||||
begin
|
||||
t = a+b;
|
||||
add1c16b = t[15:0] + t[16];
|
||||
end
|
||||
endfunction
|
||||
|
||||
always @* begin
|
||||
state_next = 2'bz;
|
||||
|
||||
transfer_in_out = 0;
|
||||
transfer_in_temp = 0;
|
||||
transfer_temp_out = 0;
|
||||
|
||||
assert_tlast = 0;
|
||||
assert_tuser = 0;
|
||||
|
||||
store_eth_hdr = 0;
|
||||
store_ip_version_ihl = 0;
|
||||
store_ip_dscp_ecn = 0;
|
||||
store_ip_length_0 = 0;
|
||||
store_ip_length_1 = 0;
|
||||
store_ip_identification_0 = 0;
|
||||
store_ip_identification_1 = 0;
|
||||
store_ip_flags_fragment_offset_0 = 0;
|
||||
store_ip_flags_fragment_offset_1 = 0;
|
||||
store_ip_ttl = 0;
|
||||
store_ip_protocol = 0;
|
||||
store_ip_header_checksum_0 = 0;
|
||||
store_ip_header_checksum_1 = 0;
|
||||
store_ip_source_ip_0 = 0;
|
||||
store_ip_source_ip_1 = 0;
|
||||
store_ip_source_ip_2 = 0;
|
||||
store_ip_source_ip_3 = 0;
|
||||
store_ip_dest_ip_0 = 0;
|
||||
store_ip_dest_ip_1 = 0;
|
||||
store_ip_dest_ip_2 = 0;
|
||||
store_ip_dest_ip_3 = 0;
|
||||
|
||||
frame_ptr_next = frame_ptr_reg;
|
||||
|
||||
hdr_sum_next = hdr_sum_reg;
|
||||
|
||||
output_ip_hdr_valid_next = output_ip_hdr_valid_reg & ~output_ip_hdr_ready;
|
||||
|
||||
error_header_early_termination_next = 0;
|
||||
error_payload_early_termination_next = 0;
|
||||
error_invalid_header_next = 0;
|
||||
error_invalid_checksum_next = 0;
|
||||
|
||||
case (state_reg)
|
||||
STATE_IDLE: begin
|
||||
// idle state - wait for header
|
||||
frame_ptr_next = 0;
|
||||
hdr_sum_next = 0;
|
||||
|
||||
if (input_eth_hdr_ready & input_eth_hdr_valid) begin
|
||||
frame_ptr_next = 0;
|
||||
store_eth_hdr = 1;
|
||||
state_next = STATE_READ_HEADER;
|
||||
end else begin
|
||||
state_next = STATE_IDLE;
|
||||
end
|
||||
end
|
||||
STATE_READ_HEADER: begin
|
||||
// read header state
|
||||
if (input_eth_payload_tvalid) begin
|
||||
// word transfer in - store it
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
state_next = STATE_READ_HEADER;
|
||||
|
||||
if (frame_ptr_reg[0]) begin
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, {8'd0, input_eth_payload_tdata});
|
||||
end else begin
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, {input_eth_payload_tdata, 8'd0});
|
||||
end
|
||||
|
||||
case (frame_ptr_reg)
|
||||
8'h00: store_ip_version_ihl = 1;
|
||||
8'h01: store_ip_dscp_ecn = 1;
|
||||
8'h02: store_ip_length_1 = 1;
|
||||
8'h03: store_ip_length_0 = 1;
|
||||
8'h04: store_ip_identification_1 = 1;
|
||||
8'h05: store_ip_identification_0 = 1;
|
||||
8'h06: store_ip_flags_fragment_offset_1 = 1;
|
||||
8'h07: store_ip_flags_fragment_offset_0 = 1;
|
||||
8'h08: store_ip_ttl = 1;
|
||||
8'h09: store_ip_protocol = 1;
|
||||
8'h0A: store_ip_header_checksum_1 = 1;
|
||||
8'h0B: store_ip_header_checksum_0 = 1;
|
||||
8'h0C: store_ip_source_ip_3 = 1;
|
||||
8'h0D: store_ip_source_ip_2 = 1;
|
||||
8'h0E: store_ip_source_ip_1 = 1;
|
||||
8'h0F: store_ip_source_ip_0 = 1;
|
||||
8'h10: store_ip_dest_ip_3 = 1;
|
||||
8'h11: store_ip_dest_ip_2 = 1;
|
||||
8'h12: store_ip_dest_ip_1 = 1;
|
||||
8'h13: begin
|
||||
store_ip_dest_ip_0 = 1;
|
||||
if (output_ip_version_reg != 4 || output_ip_ihl_reg != 5) begin
|
||||
error_invalid_header_next = 1;
|
||||
state_next = STATE_WAIT_LAST;
|
||||
end else if (hdr_sum_next != 16'hffff) begin
|
||||
error_invalid_checksum_next = 1;
|
||||
state_next = STATE_WAIT_LAST;
|
||||
end else begin
|
||||
output_ip_hdr_valid_next = 1;
|
||||
state_next = STATE_READ_PAYLOAD_IDLE;
|
||||
end
|
||||
end
|
||||
endcase
|
||||
|
||||
if (input_eth_payload_tlast) begin
|
||||
state_next = STATE_IDLE;
|
||||
error_header_early_termination_next = 1;
|
||||
end
|
||||
|
||||
end else begin
|
||||
state_next = STATE_READ_HEADER;
|
||||
end
|
||||
end
|
||||
STATE_READ_PAYLOAD_IDLE: begin
|
||||
// idle; no data in registers
|
||||
if (input_eth_payload_tvalid) begin
|
||||
// word transfer in - store it in output register
|
||||
transfer_in_out = 1;
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
if (input_eth_payload_tlast) begin
|
||||
if (frame_ptr_next != output_ip_length_reg) begin
|
||||
// end of frame, but length does not match
|
||||
assert_tuser = 1;
|
||||
error_payload_early_termination_next = 1;
|
||||
end
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
if (frame_ptr_next == output_ip_length_reg) begin
|
||||
// not end of frame, but we have the entire payload
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_IDLE;
|
||||
end
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER: begin
|
||||
// read payload; data in output register
|
||||
if (input_eth_payload_tvalid & output_ip_payload_tready) begin
|
||||
// word transfer through - update output register
|
||||
transfer_in_out = 1;
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
if (input_eth_payload_tlast) begin
|
||||
if (frame_ptr_next != output_ip_length_reg) begin
|
||||
// end of frame, but length does not match
|
||||
assert_tuser = 1;
|
||||
error_payload_early_termination_next = 1;
|
||||
end
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
if (frame_ptr_next == output_ip_length_reg) begin
|
||||
// not end of frame, but we have the entire payload
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
end else if (~input_eth_payload_tvalid & output_ip_payload_tready) begin
|
||||
// word transfer out - go back to idle
|
||||
state_next = STATE_READ_PAYLOAD_IDLE;
|
||||
end else if (input_eth_payload_tvalid & ~output_ip_payload_tready) begin
|
||||
// word transfer in - store in temp
|
||||
transfer_in_temp = 1;
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT;
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER_WAIT: begin
|
||||
// read payload; data in both output and temp registers
|
||||
if (output_ip_payload_tready) begin
|
||||
// transfer out - move temp to output
|
||||
transfer_temp_out = 1;
|
||||
if (temp_ip_payload_tlast_reg) begin
|
||||
if (frame_ptr_next != output_ip_length_reg) begin
|
||||
// end of frame, but length does not match
|
||||
assert_tuser = 1;
|
||||
error_payload_early_termination_next = 1;
|
||||
end
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
if (frame_ptr_next == output_ip_length_reg) begin
|
||||
// not end of frame, but we have the entire payload
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT;
|
||||
end
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER_LAST: begin
|
||||
// read last payload word; data in output register; do not accept new data
|
||||
if (output_ip_payload_tready) begin
|
||||
// word transfer out - done
|
||||
state_next = STATE_IDLE;
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_LAST;
|
||||
end
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST: begin
|
||||
// wait for end of frame; data in output register; read and discard
|
||||
if (input_eth_payload_tvalid) begin
|
||||
if (input_eth_payload_tlast) begin
|
||||
// assert tlast and transfer tuser
|
||||
assert_tlast = 1;
|
||||
assert_tuser = input_eth_payload_tuser;
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end
|
||||
end
|
||||
STATE_WAIT_LAST: begin
|
||||
// wait for end of frame; read and discard
|
||||
if (input_eth_payload_tvalid) begin
|
||||
if (input_eth_payload_tlast) begin
|
||||
state_next = STATE_IDLE;
|
||||
end else begin
|
||||
state_next = STATE_WAIT_LAST;
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_WAIT_LAST;
|
||||
end
|
||||
end
|
||||
endcase
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
state_reg <= STATE_IDLE;
|
||||
frame_ptr_reg <= 0;
|
||||
hdr_sum_reg <= 0;
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
output_ip_hdr_valid_reg <= 0;
|
||||
output_eth_dest_mac_reg <= 0;
|
||||
output_eth_src_mac_reg <= 0;
|
||||
output_eth_type_reg <= 0;
|
||||
output_ip_version_reg <= 0;
|
||||
output_ip_ihl_reg <= 0;
|
||||
output_ip_dscp_reg <= 0;
|
||||
output_ip_ecn_reg <= 0;
|
||||
output_ip_length_reg <= 0;
|
||||
output_ip_identification_reg <= 0;
|
||||
output_ip_flags_reg <= 0;
|
||||
output_ip_fragment_offset_reg <= 0;
|
||||
output_ip_ttl_reg <= 0;
|
||||
output_ip_protocol_reg <= 0;
|
||||
output_ip_header_checksum_reg <= 0;
|
||||
output_ip_source_ip_reg <= 0;
|
||||
output_ip_dest_ip_reg <= 0;
|
||||
output_ip_payload_tdata_reg <= 0;
|
||||
output_ip_payload_tvalid_reg <= 0;
|
||||
output_ip_payload_tlast_reg <= 0;
|
||||
output_ip_payload_tuser_reg <= 0;
|
||||
temp_ip_payload_tdata_reg <= 0;
|
||||
temp_ip_payload_tlast_reg <= 0;
|
||||
temp_ip_payload_tuser_reg <= 0;
|
||||
busy_reg <= 0;
|
||||
error_header_early_termination_reg <= 0;
|
||||
error_payload_early_termination_reg <= 0;
|
||||
error_invalid_header_reg <= 0;
|
||||
error_invalid_checksum_reg <= 0;
|
||||
end else begin
|
||||
state_reg <= state_next;
|
||||
|
||||
frame_ptr_reg <= frame_ptr_next;
|
||||
|
||||
hdr_sum_reg <= hdr_sum_next;
|
||||
|
||||
output_ip_hdr_valid_reg <= output_ip_hdr_valid_next;
|
||||
|
||||
error_header_early_termination_reg <= error_header_early_termination_next;
|
||||
error_payload_early_termination_reg <= error_payload_early_termination_next;
|
||||
error_invalid_header_reg <= error_invalid_header_next;
|
||||
error_invalid_checksum_reg <= error_invalid_checksum_next;
|
||||
|
||||
busy_reg <= state_next != STATE_IDLE;
|
||||
|
||||
// generate valid outputs
|
||||
case (state_next)
|
||||
STATE_IDLE: begin
|
||||
// idle; accept new data
|
||||
input_eth_hdr_ready_reg <= ~output_ip_hdr_valid;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
output_ip_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_READ_HEADER: begin
|
||||
// read header; accept new data
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 1;
|
||||
output_ip_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_READ_PAYLOAD_IDLE: begin
|
||||
// read payload; no data in registers; accept new data
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 1;
|
||||
output_ip_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER: begin
|
||||
// read payload; data in output register; accept new data
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 1;
|
||||
output_ip_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER_WAIT: begin
|
||||
// read payload; data in output and temp registers; do not accept new data
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
output_ip_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER_LAST: begin
|
||||
// read last payload word; data in output register; do not accept new data
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 0;
|
||||
output_ip_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_READ_PAYLOAD_TRANSFER_WAIT_LAST: begin
|
||||
// wait for end of frame; data in output register; read and discard
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 1;
|
||||
output_ip_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_WAIT_LAST: begin
|
||||
// wait for end of frame; read and discard
|
||||
input_eth_hdr_ready_reg <= 0;
|
||||
input_eth_payload_tready_reg <= 1;
|
||||
output_ip_payload_tvalid_reg <= 0;
|
||||
end
|
||||
endcase
|
||||
|
||||
// datapath
|
||||
if (store_eth_hdr) begin
|
||||
output_eth_dest_mac_reg <= input_eth_dest_mac;
|
||||
output_eth_src_mac_reg <= input_eth_src_mac;
|
||||
output_eth_type_reg <= input_eth_type;
|
||||
end
|
||||
|
||||
if (store_ip_version_ihl) {output_ip_version_reg, output_ip_ihl_reg} <= input_eth_payload_tdata;
|
||||
if (store_ip_dscp_ecn) {output_ip_dscp_reg, output_ip_ecn_reg} <= input_eth_payload_tdata;
|
||||
if (store_ip_length_0) output_ip_length_reg[ 7: 0] <= input_eth_payload_tdata;
|
||||
if (store_ip_length_1) output_ip_length_reg[15: 8] <= input_eth_payload_tdata;
|
||||
if (store_ip_identification_0) output_ip_identification_reg[ 7: 0] <= input_eth_payload_tdata;
|
||||
if (store_ip_identification_1) output_ip_identification_reg[15: 8] <= input_eth_payload_tdata;
|
||||
if (store_ip_flags_fragment_offset_0) output_ip_fragment_offset_reg[ 7:0] <= input_eth_payload_tdata;
|
||||
if (store_ip_flags_fragment_offset_1) {output_ip_flags_reg, output_ip_fragment_offset_reg[12:8]} <= input_eth_payload_tdata;
|
||||
if (store_ip_ttl) output_ip_ttl_reg <= input_eth_payload_tdata;
|
||||
if (store_ip_protocol) output_ip_protocol_reg <= input_eth_payload_tdata;
|
||||
if (store_ip_header_checksum_0) output_ip_header_checksum_reg[ 7: 0] <= input_eth_payload_tdata;
|
||||
if (store_ip_header_checksum_1) output_ip_header_checksum_reg[15: 8] <= input_eth_payload_tdata;
|
||||
if (store_ip_source_ip_0) output_ip_source_ip_reg[ 7: 0] <= input_eth_payload_tdata;
|
||||
if (store_ip_source_ip_1) output_ip_source_ip_reg[15: 8] <= input_eth_payload_tdata;
|
||||
if (store_ip_source_ip_2) output_ip_source_ip_reg[23:16] <= input_eth_payload_tdata;
|
||||
if (store_ip_source_ip_3) output_ip_source_ip_reg[31:24] <= input_eth_payload_tdata;
|
||||
if (store_ip_dest_ip_0) output_ip_dest_ip_reg[ 7: 0] <= input_eth_payload_tdata;
|
||||
if (store_ip_dest_ip_1) output_ip_dest_ip_reg[15: 8] <= input_eth_payload_tdata;
|
||||
if (store_ip_dest_ip_2) output_ip_dest_ip_reg[23:16] <= input_eth_payload_tdata;
|
||||
if (store_ip_dest_ip_3) output_ip_dest_ip_reg[31:24] <= input_eth_payload_tdata;
|
||||
|
||||
if (transfer_in_out) begin
|
||||
output_ip_payload_tdata_reg <= input_eth_payload_tdata;
|
||||
output_ip_payload_tlast_reg <= input_eth_payload_tlast;
|
||||
output_ip_payload_tuser_reg <= input_eth_payload_tuser;
|
||||
end else if (transfer_in_temp) begin
|
||||
temp_ip_payload_tdata_reg <= input_eth_payload_tdata;
|
||||
temp_ip_payload_tlast_reg <= input_eth_payload_tlast;
|
||||
temp_ip_payload_tuser_reg <= input_eth_payload_tuser;
|
||||
end else if (transfer_temp_out) begin
|
||||
output_ip_payload_tdata_reg <= temp_ip_payload_tdata_reg;
|
||||
output_ip_payload_tlast_reg <= temp_ip_payload_tlast_reg;
|
||||
output_ip_payload_tuser_reg <= temp_ip_payload_tuser_reg;
|
||||
end
|
||||
|
||||
if (assert_tlast) output_ip_payload_tlast_reg <= 1;
|
||||
if (assert_tuser) output_ip_payload_tuser_reg <= 1;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
556
rtl/ip_eth_tx.v
Normal file
556
rtl/ip_eth_tx.v
Normal file
@ -0,0 +1,556 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* IP ethernet frame transmitter (IP frame in, Ethernet frame out)
|
||||
*/
|
||||
module ip_eth_tx
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* IP frame input
|
||||
*/
|
||||
input wire input_ip_hdr_valid,
|
||||
output wire input_ip_hdr_ready,
|
||||
input wire [47:0] input_eth_dest_mac,
|
||||
input wire [47:0] input_eth_src_mac,
|
||||
input wire [15:0] input_eth_type,
|
||||
input wire [5:0] input_ip_dscp,
|
||||
input wire [1:0] input_ip_ecn,
|
||||
input wire [15:0] input_ip_length,
|
||||
input wire [15:0] input_ip_identification,
|
||||
input wire [2:0] input_ip_flags,
|
||||
input wire [12:0] input_ip_fragment_offset,
|
||||
input wire [7:0] input_ip_ttl,
|
||||
input wire [7:0] input_ip_protocol,
|
||||
input wire [31:0] input_ip_source_ip,
|
||||
input wire [31:0] input_ip_dest_ip,
|
||||
input wire [7:0] input_ip_payload_tdata,
|
||||
input wire input_ip_payload_tvalid,
|
||||
output wire input_ip_payload_tready,
|
||||
input wire input_ip_payload_tlast,
|
||||
input wire input_ip_payload_tuser,
|
||||
|
||||
/*
|
||||
* Ethernet frame output
|
||||
*/
|
||||
output wire output_eth_hdr_valid,
|
||||
input wire output_eth_hdr_ready,
|
||||
output wire [47:0] output_eth_dest_mac,
|
||||
output wire [47:0] output_eth_src_mac,
|
||||
output wire [15:0] output_eth_type,
|
||||
output wire [7:0] output_eth_payload_tdata,
|
||||
output wire output_eth_payload_tvalid,
|
||||
input wire output_eth_payload_tready,
|
||||
output wire output_eth_payload_tlast,
|
||||
output wire output_eth_payload_tuser,
|
||||
|
||||
/*
|
||||
* Status signals
|
||||
*/
|
||||
output wire busy,
|
||||
output wire error_payload_early_termination
|
||||
);
|
||||
|
||||
/*
|
||||
|
||||
IP Frame
|
||||
|
||||
Field Length
|
||||
Destination MAC address 6 octets
|
||||
Source MAC address 6 octets
|
||||
Ethertype (0x0800) 2 octets
|
||||
Version (4) 4 bits
|
||||
IHL (5-15) 4 bits
|
||||
DSCP (0) 6 bits
|
||||
ECN (0) 2 bits
|
||||
length 2 octets
|
||||
identification (0?) 2 octets
|
||||
flags (010) 3 bits
|
||||
fragment offset (0) 13 bits
|
||||
time to live (64?) 1 octet
|
||||
protocol 1 octet
|
||||
header checksum 2 octets
|
||||
source IP 4 octets
|
||||
destination IP 4 octets
|
||||
options (IHL-5)*4 octets
|
||||
payload length octets
|
||||
|
||||
This module receives an Ethernet frame with decoded fields and decodes
|
||||
the AXI packet format. If the Ethertype does not match, the packet is
|
||||
discarded.
|
||||
|
||||
*/
|
||||
|
||||
localparam [2:0]
|
||||
STATE_IDLE = 3'd0,
|
||||
STATE_WRITE_HEADER = 3'd1,
|
||||
STATE_WRITE_PAYLOAD_IDLE = 3'd2,
|
||||
STATE_WRITE_PAYLOAD_TRANSFER = 3'd3,
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_WAIT = 3'd4,
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_LAST = 3'd5,
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST = 3'd6,
|
||||
STATE_WAIT_LAST = 3'd7;
|
||||
|
||||
reg [2:0] state_reg = STATE_IDLE, state_next;
|
||||
|
||||
// datapath control signals
|
||||
reg store_ip_hdr;
|
||||
|
||||
reg [7:0] write_hdr_data;
|
||||
reg write_hdr_out;
|
||||
|
||||
reg transfer_in_out;
|
||||
reg transfer_in_temp;
|
||||
reg transfer_temp_out;
|
||||
|
||||
reg assert_tlast;
|
||||
reg assert_tuser;
|
||||
|
||||
reg [15:0] frame_ptr_reg = 0, frame_ptr_next;
|
||||
|
||||
reg [15:0] hdr_sum_reg = 0, hdr_sum_next;
|
||||
reg [15:0] payload_len_reg = 0, payload_len_next;
|
||||
|
||||
reg [5:0] ip_dscp_reg = 0;
|
||||
reg [1:0] ip_ecn_reg = 0;
|
||||
reg [15:0] ip_length_reg = 0;
|
||||
reg [15:0] ip_identification_reg = 0;
|
||||
reg [2:0] ip_flags_reg = 0;
|
||||
reg [12:0] ip_fragment_offset_reg = 0;
|
||||
reg [7:0] ip_ttl_reg = 0;
|
||||
reg [7:0] ip_protocol_reg = 0;
|
||||
reg [31:0] ip_source_ip_reg = 0;
|
||||
reg [31:0] ip_dest_ip_reg = 0;
|
||||
|
||||
reg input_ip_hdr_ready_reg = 0;
|
||||
reg input_ip_payload_tready_reg = 0;
|
||||
|
||||
reg output_eth_hdr_valid_reg = 0, output_eth_hdr_valid_next;
|
||||
reg [47:0] output_eth_dest_mac_reg = 0;
|
||||
reg [47:0] output_eth_src_mac_reg = 0;
|
||||
reg [15:0] output_eth_type_reg = 0;
|
||||
reg [7:0] output_eth_payload_tdata_reg = 0;
|
||||
reg output_eth_payload_tvalid_reg = 0;
|
||||
reg output_eth_payload_tlast_reg = 0;
|
||||
reg output_eth_payload_tuser_reg = 0;
|
||||
|
||||
reg busy_reg = 0;
|
||||
reg error_payload_early_termination_reg = 0, error_payload_early_termination_next;
|
||||
|
||||
reg [7:0] temp_eth_payload_tdata_reg = 0;
|
||||
reg temp_eth_payload_tlast_reg = 0;
|
||||
reg temp_eth_payload_tuser_reg = 0;
|
||||
|
||||
assign input_ip_hdr_ready = input_ip_hdr_ready_reg;
|
||||
assign input_ip_payload_tready = input_ip_payload_tready_reg;
|
||||
|
||||
assign output_eth_hdr_valid = output_eth_hdr_valid_reg;
|
||||
assign output_eth_dest_mac = output_eth_dest_mac_reg;
|
||||
assign output_eth_src_mac = output_eth_src_mac_reg;
|
||||
assign output_eth_type = output_eth_type_reg;
|
||||
assign output_eth_payload_tdata = output_eth_payload_tdata_reg;
|
||||
assign output_eth_payload_tvalid = output_eth_payload_tvalid_reg;
|
||||
assign output_eth_payload_tlast = output_eth_payload_tlast_reg;
|
||||
assign output_eth_payload_tuser = output_eth_payload_tuser_reg;
|
||||
|
||||
assign busy = busy_reg;
|
||||
assign error_payload_early_termination = error_payload_early_termination_reg;
|
||||
|
||||
function [15:0] add1c16b;
|
||||
parameter WIDTH = 16;
|
||||
input [15:0] a, b;
|
||||
reg [16:0] t;
|
||||
begin
|
||||
t = a+b;
|
||||
add1c16b = t[15:0] + t[16];
|
||||
end
|
||||
endfunction
|
||||
|
||||
always @* begin
|
||||
state_next = 2'bz;
|
||||
|
||||
store_ip_hdr = 0;
|
||||
|
||||
write_hdr_data = 0;
|
||||
write_hdr_out = 0;
|
||||
|
||||
transfer_in_out = 0;
|
||||
transfer_in_temp = 0;
|
||||
transfer_temp_out = 0;
|
||||
|
||||
assert_tlast = 0;
|
||||
assert_tuser = 0;
|
||||
|
||||
frame_ptr_next = frame_ptr_reg;
|
||||
|
||||
hdr_sum_next = hdr_sum_reg;
|
||||
payload_len_next = payload_len_reg;
|
||||
|
||||
output_eth_hdr_valid_next = output_eth_hdr_valid_reg & ~output_eth_hdr_ready;
|
||||
|
||||
error_payload_early_termination_next = 0;
|
||||
|
||||
case (state_reg)
|
||||
STATE_IDLE: begin
|
||||
// idle state - wait for data
|
||||
frame_ptr_next = 0;
|
||||
|
||||
if (input_ip_hdr_valid & input_ip_hdr_ready) begin
|
||||
store_ip_hdr = 1;
|
||||
write_hdr_out = 1;
|
||||
write_hdr_data = {4'd4, 4'd5}; // ip_version, ip_ihl
|
||||
output_eth_hdr_valid_next = 1;
|
||||
frame_ptr_next = 1;
|
||||
state_next = STATE_WRITE_HEADER;
|
||||
end else begin
|
||||
state_next = STATE_IDLE;
|
||||
end
|
||||
end
|
||||
STATE_WRITE_HEADER: begin
|
||||
// read header state
|
||||
if (output_eth_payload_tready) begin
|
||||
// word transfer out
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
state_next = STATE_WRITE_HEADER;
|
||||
write_hdr_out = 1;
|
||||
case (frame_ptr_reg)
|
||||
8'h01: begin
|
||||
write_hdr_data = {ip_dscp_reg, ip_ecn_reg};
|
||||
hdr_sum_next = {4'd4, 4'd5, ip_dscp_reg, ip_ecn_reg};
|
||||
end
|
||||
8'h02: begin
|
||||
write_hdr_data = ip_length_reg[15: 8];
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, ip_length_reg);
|
||||
end
|
||||
8'h03: begin
|
||||
write_hdr_data = ip_length_reg[ 7: 0];
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, ip_identification_reg);
|
||||
end
|
||||
8'h04: begin
|
||||
write_hdr_data = ip_identification_reg[15: 8];
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, {ip_flags_reg, ip_fragment_offset_reg});
|
||||
end
|
||||
8'h05: begin
|
||||
write_hdr_data = ip_identification_reg[ 7: 0];
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, {ip_ttl_reg, ip_protocol_reg});
|
||||
end
|
||||
8'h06: begin
|
||||
write_hdr_data = {ip_flags_reg, ip_fragment_offset_reg[12:8]};
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, ip_source_ip_reg[31:16]);
|
||||
end
|
||||
8'h07: begin
|
||||
write_hdr_data = ip_fragment_offset_reg[ 7: 0];
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, ip_source_ip_reg[15:0]);
|
||||
end
|
||||
8'h08: begin
|
||||
write_hdr_data = ip_ttl_reg;
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, ip_dest_ip_reg[31:16]);
|
||||
end
|
||||
8'h09: begin
|
||||
write_hdr_data = ip_protocol_reg;
|
||||
hdr_sum_next = add1c16b(hdr_sum_reg, ip_dest_ip_reg[15:0]);
|
||||
end
|
||||
8'h0A: write_hdr_data = ~hdr_sum_reg[15: 8];
|
||||
8'h0B: write_hdr_data = ~hdr_sum_reg[ 7: 0];
|
||||
8'h0C: write_hdr_data = ip_source_ip_reg[31:24];
|
||||
8'h0D: write_hdr_data = ip_source_ip_reg[23:16];
|
||||
8'h0E: write_hdr_data = ip_source_ip_reg[15: 8];
|
||||
8'h0F: write_hdr_data = ip_source_ip_reg[ 7: 0];
|
||||
8'h10: write_hdr_data = ip_dest_ip_reg[31:24];
|
||||
8'h11: write_hdr_data = ip_dest_ip_reg[23:16];
|
||||
8'h12: write_hdr_data = ip_dest_ip_reg[15: 8];
|
||||
8'h13: begin
|
||||
write_hdr_data = ip_dest_ip_reg[ 7: 0];
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER;
|
||||
end
|
||||
endcase
|
||||
end else begin
|
||||
state_next = STATE_WRITE_HEADER;
|
||||
end
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_IDLE: begin
|
||||
// idle; no data in registers
|
||||
if (input_ip_payload_tvalid) begin
|
||||
// word transfer in - store it in output register
|
||||
transfer_in_out = 1;
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
if (input_ip_payload_tlast) begin
|
||||
if (frame_ptr_next != ip_length_reg) begin
|
||||
// end of frame, but length does not match
|
||||
assert_tuser = 1;
|
||||
error_payload_early_termination_next = 1;
|
||||
end
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
if (frame_ptr_next == ip_length_reg) begin
|
||||
// not end of frame, but we have the entire payload
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_IDLE;
|
||||
end
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER: begin
|
||||
// write payload; data in output register
|
||||
if (input_ip_payload_tvalid & output_eth_payload_tready) begin
|
||||
// word transfer through - update output register
|
||||
transfer_in_out = 1;
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
if (input_ip_payload_tlast) begin
|
||||
if (frame_ptr_next != ip_length_reg) begin
|
||||
// end of frame, but length does not match
|
||||
assert_tuser = 1;
|
||||
error_payload_early_termination_next = 1;
|
||||
end
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
if (frame_ptr_next == ip_length_reg) begin
|
||||
// not end of frame, but we have the entire payload
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
end else if (~input_ip_payload_tvalid & output_eth_payload_tready) begin
|
||||
// word transfer out - go back to idle
|
||||
state_next = STATE_WRITE_PAYLOAD_IDLE;
|
||||
end else if (input_ip_payload_tvalid & ~output_eth_payload_tready) begin
|
||||
// word transfer in - store in temp
|
||||
transfer_in_temp = 1;
|
||||
frame_ptr_next = frame_ptr_reg+1;
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT;
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_WAIT: begin
|
||||
// write payload; data in both output and temp registers
|
||||
if (output_eth_payload_tready) begin
|
||||
// transfer out - move temp to output
|
||||
transfer_temp_out = 1;
|
||||
if (temp_eth_payload_tlast_reg) begin
|
||||
if (frame_ptr_next != ip_length_reg) begin
|
||||
// end of frame, but length does not match
|
||||
assert_tuser = 1;
|
||||
error_payload_early_termination_next = 1;
|
||||
end
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
if (frame_ptr_next == ip_length_reg) begin
|
||||
// not end of frame, but we have the entire payload
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER;
|
||||
end
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT;
|
||||
end
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_LAST: begin
|
||||
// write last payload word; data in output register; do not accept new data
|
||||
if (output_eth_payload_tready) begin
|
||||
// word transfer out - done
|
||||
state_next = STATE_IDLE;
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_LAST;
|
||||
end
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST: begin
|
||||
// wait for end of frame; data in output register; read and discard
|
||||
if (input_ip_payload_tvalid) begin
|
||||
if (input_ip_payload_tlast) begin
|
||||
// assert tlast and transfer tuser
|
||||
assert_tlast = 1;
|
||||
assert_tuser = input_ip_payload_tuser;
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_LAST;
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST;
|
||||
end
|
||||
end
|
||||
STATE_WAIT_LAST: begin
|
||||
// wait for end of frame; read and discard
|
||||
if (input_ip_payload_tvalid) begin
|
||||
if (input_ip_payload_tlast) begin
|
||||
state_next = STATE_IDLE;
|
||||
end else begin
|
||||
state_next = STATE_WAIT_LAST;
|
||||
end
|
||||
end else begin
|
||||
state_next = STATE_WAIT_LAST;
|
||||
end
|
||||
end
|
||||
endcase
|
||||
end
|
||||
|
||||
always @(posedge clk or posedge rst) begin
|
||||
if (rst) begin
|
||||
state_reg <= STATE_IDLE;
|
||||
frame_ptr_reg <= 0;
|
||||
hdr_sum_reg <= 0;
|
||||
payload_len_reg <= 0;
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 0;
|
||||
ip_dscp_reg <= 0;
|
||||
ip_ecn_reg <= 0;
|
||||
ip_length_reg <= 0;
|
||||
ip_identification_reg <= 0;
|
||||
ip_flags_reg <= 0;
|
||||
ip_fragment_offset_reg <= 0;
|
||||
ip_ttl_reg <= 0;
|
||||
ip_protocol_reg <= 0;
|
||||
ip_source_ip_reg <= 0;
|
||||
ip_dest_ip_reg <= 0;
|
||||
output_eth_hdr_valid_reg <= 0;
|
||||
output_eth_dest_mac_reg <= 0;
|
||||
output_eth_src_mac_reg <= 0;
|
||||
output_eth_type_reg <= 0;
|
||||
output_eth_payload_tdata_reg <= 0;
|
||||
output_eth_payload_tvalid_reg <= 0;
|
||||
output_eth_payload_tlast_reg <= 0;
|
||||
output_eth_payload_tuser_reg <= 0;
|
||||
temp_eth_payload_tdata_reg <= 0;
|
||||
temp_eth_payload_tlast_reg <= 0;
|
||||
temp_eth_payload_tuser_reg <= 0;
|
||||
busy_reg <= 0;
|
||||
error_payload_early_termination_reg <= 0;
|
||||
end else begin
|
||||
state_reg <= state_next;
|
||||
|
||||
frame_ptr_reg <= frame_ptr_next;
|
||||
|
||||
hdr_sum_reg <= hdr_sum_next;
|
||||
payload_len_reg <= payload_len_next;
|
||||
|
||||
output_eth_hdr_valid_reg <= output_eth_hdr_valid_next;
|
||||
|
||||
busy_reg <= state_next != STATE_IDLE;
|
||||
|
||||
error_payload_early_termination_reg <= error_payload_early_termination_next;
|
||||
|
||||
// generate valid outputs
|
||||
case (state_next)
|
||||
STATE_IDLE: begin
|
||||
// idle; accept new data
|
||||
input_ip_hdr_ready_reg <= 1;
|
||||
input_ip_payload_tready_reg <= 0;
|
||||
output_eth_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_WRITE_HEADER: begin
|
||||
// write header
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 0;
|
||||
output_eth_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_IDLE: begin
|
||||
// write payload; no data in registers; accept new data
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 1;
|
||||
output_eth_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER: begin
|
||||
// write payload; data in output register; accept new data
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 1;
|
||||
output_eth_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_WAIT: begin
|
||||
// write payload; data in output and temp registers; do not accept new data
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 0;
|
||||
output_eth_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_LAST: begin
|
||||
// write last payload word; data in output register; do not accept new data
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 0;
|
||||
output_eth_payload_tvalid_reg <= 1;
|
||||
end
|
||||
STATE_WRITE_PAYLOAD_TRANSFER_WAIT_LAST: begin
|
||||
// wait for end of frame; data in output register; read and discard
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 1;
|
||||
output_eth_payload_tvalid_reg <= 0;
|
||||
end
|
||||
STATE_WAIT_LAST: begin
|
||||
// wait for end of frame; read and discard
|
||||
input_ip_hdr_ready_reg <= 0;
|
||||
input_ip_payload_tready_reg <= 1;
|
||||
output_eth_payload_tvalid_reg <= 0;
|
||||
end
|
||||
endcase
|
||||
|
||||
if (store_ip_hdr) begin
|
||||
output_eth_dest_mac_reg <= input_eth_dest_mac;
|
||||
output_eth_src_mac_reg <= input_eth_src_mac;
|
||||
output_eth_type_reg <= input_eth_type;
|
||||
ip_dscp_reg <= input_ip_dscp;
|
||||
ip_ecn_reg <= input_ip_ecn;
|
||||
ip_length_reg <= input_ip_length;
|
||||
ip_identification_reg <= input_ip_identification;
|
||||
ip_flags_reg <= input_ip_flags;
|
||||
ip_fragment_offset_reg <= input_ip_fragment_offset;
|
||||
ip_ttl_reg <= input_ip_ttl;
|
||||
ip_protocol_reg <= input_ip_protocol;
|
||||
ip_source_ip_reg <= input_ip_source_ip;
|
||||
ip_dest_ip_reg <= input_ip_dest_ip;
|
||||
end
|
||||
|
||||
if (write_hdr_out) begin
|
||||
output_eth_payload_tdata_reg <= write_hdr_data;
|
||||
output_eth_payload_tlast_reg <= 0;
|
||||
output_eth_payload_tuser_reg <= 0;
|
||||
end else if (transfer_in_out) begin
|
||||
output_eth_payload_tdata_reg <= input_ip_payload_tdata;
|
||||
output_eth_payload_tlast_reg <= input_ip_payload_tlast;
|
||||
output_eth_payload_tuser_reg <= input_ip_payload_tuser;
|
||||
end else if (transfer_in_temp) begin
|
||||
temp_eth_payload_tdata_reg <= input_ip_payload_tdata;
|
||||
temp_eth_payload_tlast_reg <= input_ip_payload_tlast;
|
||||
temp_eth_payload_tuser_reg <= input_ip_payload_tuser;
|
||||
end else if (transfer_temp_out) begin
|
||||
output_eth_payload_tdata_reg <= temp_eth_payload_tdata_reg;
|
||||
output_eth_payload_tlast_reg <= temp_eth_payload_tlast_reg;
|
||||
output_eth_payload_tuser_reg <= temp_eth_payload_tuser_reg;
|
||||
end
|
||||
|
||||
if (assert_tlast) output_eth_payload_tlast_reg <= 1;
|
||||
if (assert_tuser) output_eth_payload_tuser_reg <= 1;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
425
tb/ip_ep.py
Normal file
425
tb/ip_ep.py
Normal file
@ -0,0 +1,425 @@
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import axis_ep
|
||||
import eth_ep
|
||||
from Queue import Queue
|
||||
import struct
|
||||
|
||||
class IPFrame(object):
|
||||
def __init__(self, payload='',
|
||||
eth_dest_mac=0,
|
||||
eth_src_mac=0,
|
||||
eth_type=0,
|
||||
ip_version=4,
|
||||
ip_ihl=5,
|
||||
ip_dscp=0,
|
||||
ip_ecn=0,
|
||||
ip_length=None,
|
||||
ip_identification=0,
|
||||
ip_flags=2,
|
||||
ip_fragment_offset=0,
|
||||
ip_ttl=64,
|
||||
ip_protocol=0x11,
|
||||
ip_header_checksum=None,
|
||||
ip_source_ip=0xc0a80164,
|
||||
ip_dest_ip=0xc0a80165):
|
||||
|
||||
self._payload = axis_ep.AXIStreamFrame()
|
||||
self.eth_dest_mac = eth_dest_mac
|
||||
self.eth_src_mac = eth_src_mac
|
||||
self.eth_type = eth_type
|
||||
self.ip_version = ip_version
|
||||
self.ip_ihl = ip_ihl
|
||||
self.ip_dscp = ip_dscp
|
||||
self.ip_ecn = ip_ecn
|
||||
self.ip_length = ip_length
|
||||
self.ip_identification = ip_identification
|
||||
self.ip_flags = ip_flags
|
||||
self.ip_fragment_offset = ip_fragment_offset
|
||||
self.ip_ttl = ip_ttl
|
||||
self.ip_protocol = ip_protocol
|
||||
self.ip_header_checksum = ip_header_checksum
|
||||
self.ip_source_ip = ip_source_ip
|
||||
self.ip_dest_ip = ip_dest_ip
|
||||
|
||||
if type(payload) is dict:
|
||||
self.payload = axis_ep.AXIStreamFrame(payload['ip_payload'])
|
||||
self.eth_dest_mac = payload['eth_dest_mac']
|
||||
self.eth_src_mac = payload['eth_src_mac']
|
||||
self.eth_type = payload['eth_type']
|
||||
self.ip_version = payload['ip_version']
|
||||
self.ip_ihl = payload['ip_ihl']
|
||||
self.ip_dscp = payload['ip_dscp']
|
||||
self.ip_ecn = payload['ip_ecn']
|
||||
self.ip_length = payload['ip_length']
|
||||
self.ip_identification = payload['ip_identification']
|
||||
self.ip_flags = payload['ip_flags']
|
||||
self.ip_fragment_offset = payload['ip_fragment_offset']
|
||||
self.ip_ttl = payload['ip_ttl']
|
||||
self.ip_protocol = payload['ip_protocol']
|
||||
self.ip_header_checksum = payload['ip_header_checksum']
|
||||
self.ip_source_ip = payload['ip_source_ip']
|
||||
self.ip_dest_ip = payload['ip_dest_ip']
|
||||
if type(payload) is bytes:
|
||||
payload = bytearray(payload)
|
||||
if type(payload) is bytearray or type(payload) is axis_ep.AXIStreamFrame:
|
||||
self.payload = axis_ep.AXIStreamFrame(payload)
|
||||
if type(payload) is IPFrame:
|
||||
self.payload = axis_ep.AXIStreamFrame(payload.payload)
|
||||
self.eth_dest_mac = payload.eth_dest_mac
|
||||
self.eth_src_mac = payload.eth_src_mac
|
||||
self.eth_type = payload.eth_type
|
||||
self.ip_version = payload.ip_version
|
||||
self.ip_ihl = payload.ip_ihl
|
||||
self.ip_dscp = payload.ip_dscp
|
||||
self.ip_ecn = payload.ip_ecn
|
||||
self.ip_length = payload.ip_length
|
||||
self.ip_identification = payload.ip_identification
|
||||
self.ip_flags = payload.ip_flags
|
||||
self.ip_fragment_offset = payload.ip_fragment_offset
|
||||
self.ip_ttl = payload.ip_ttl
|
||||
self.ip_protocol = payload.ip_protocol
|
||||
self.ip_header_checksum = payload.ip_header_checksum
|
||||
self.ip_source_ip = payload.ip_source_ip
|
||||
self.ip_dest_ip = payload.ip_dest_ip
|
||||
|
||||
@property
|
||||
def payload(self):
|
||||
return self._payload
|
||||
|
||||
@payload.setter
|
||||
def payload(self, value):
|
||||
self._payload = axis_ep.AXIStreamFrame(value)
|
||||
|
||||
def update_length(self):
|
||||
self.ip_length = len(self.payload.data) + 20
|
||||
|
||||
def checksum(self):
|
||||
cksum = self.ip_version << 12 | self.ip_ihl << 8 | self.ip_dscp << 2 | self.ip_ecn
|
||||
cksum += self.ip_length
|
||||
cksum += self.ip_identification
|
||||
cksum += self.ip_flags << 13 | self.ip_fragment_offset
|
||||
cksum += self.ip_ttl << 8 | self.ip_protocol
|
||||
cksum += self.ip_source_ip & 0xffff
|
||||
cksum += (self.ip_source_ip >> 16) & 0xffff
|
||||
cksum += self.ip_dest_ip & 0xffff
|
||||
cksum += (self.ip_dest_ip >> 16) & 0xffff
|
||||
cksum = (cksum & 0xffff) + (cksum >> 16)
|
||||
cksum = (cksum & 0xffff) + (cksum >> 16)
|
||||
return ~cksum & 0xffff
|
||||
|
||||
def update_checksum(self):
|
||||
self.ip_header_checksum = self.checksum()
|
||||
|
||||
def build(self):
|
||||
if self.ip_length is None:
|
||||
self.update_length()
|
||||
if self.ip_header_checksum is None:
|
||||
self.update_checksum()
|
||||
|
||||
def build_axis(self):
|
||||
return self.build_eth().build_axis()
|
||||
|
||||
def build_eth(self):
|
||||
self.build()
|
||||
data = ''
|
||||
|
||||
data += struct.pack('B', self.ip_version << 4 | self.ip_ihl)
|
||||
data += struct.pack('B', self.ip_dscp << 2 | self.ip_ecn)
|
||||
data += struct.pack('>H', self.ip_length)
|
||||
data += struct.pack('>H', self.ip_identification)
|
||||
data += struct.pack('>H', self.ip_flags << 13 | self.ip_fragment_offset)
|
||||
data += struct.pack('B', self.ip_ttl)
|
||||
data += struct.pack('B', self.ip_protocol)
|
||||
data += struct.pack('>H', self.ip_header_checksum)
|
||||
data += struct.pack('>L', self.ip_source_ip)
|
||||
data += struct.pack('>L', self.ip_dest_ip)
|
||||
|
||||
data += self.payload.data
|
||||
|
||||
return eth_ep.EthFrame(data, self.eth_dest_mac, self.eth_src_mac, self.eth_type)
|
||||
|
||||
def parse_axis(self, data):
|
||||
frame = eth_ep.EthFrame()
|
||||
frame.parse_axis(data)
|
||||
self.parse_eth(frame)
|
||||
|
||||
def parse_eth(self, data):
|
||||
self.eth_src_mac = data.eth_src_mac
|
||||
self.eth_dest_mac = data.eth_dest_mac
|
||||
self.eth_type = data.eth_type
|
||||
|
||||
v = struct.unpack('B', data.payload.data[0:1])[0]
|
||||
self.ip_version = (v >> 4) & 0xF
|
||||
self.ip_ihl = v & 0xF
|
||||
v = struct.unpack('B', data.payload.data[1:2])[0]
|
||||
self.ip_dscp = (v >> 2) & 0x3F
|
||||
self.ip_ecn = v & 0x3
|
||||
self.ip_length = struct.unpack('>H', data.payload.data[2:4])[0]
|
||||
self.ip_identification = struct.unpack('>H', data.payload.data[4:6])[0]
|
||||
v = struct.unpack('>H', data.payload.data[6:8])[0]
|
||||
self.ip_flags = (v >> 13) & 0x7
|
||||
self.ip_fragment_offset = v & 0x1FFF
|
||||
self.ip_ttl = struct.unpack('B', data.payload.data[8:9])[0]
|
||||
self.ip_protocol = struct.unpack('B', data.payload.data[9:10])[0]
|
||||
self.ip_header_checksum = struct.unpack('>H', data.payload.data[10:12])[0]
|
||||
self.ip_source_ip = struct.unpack('>L', data.payload.data[12:16])[0]
|
||||
self.ip_dest_ip = struct.unpack('>L', data.payload.data[16:20])[0]
|
||||
|
||||
self.payload = axis_ep.AXIStreamFrame(data.payload.data[20:])
|
||||
|
||||
def __eq__(self, other):
|
||||
if type(other) is IPFrame:
|
||||
return (self.eth_src_mac == other.eth_src_mac and
|
||||
self.eth_dest_mac == other.eth_dest_mac and
|
||||
self.eth_type == other.eth_type and
|
||||
self.ip_version == other.ip_version and
|
||||
self.ip_ihl == other.ip_ihl and
|
||||
self.ip_dscp == other.ip_dscp and
|
||||
self.ip_ecn == other.ip_ecn and
|
||||
self.ip_length == other.ip_length and
|
||||
self.ip_identification == other.ip_identification and
|
||||
self.ip_flags == other.ip_flags and
|
||||
self.ip_fragment_offset == other.ip_fragment_offset and
|
||||
self.ip_ttl == other.ip_ttl and
|
||||
self.ip_protocol == other.ip_protocol and
|
||||
self.ip_header_checksum == other.ip_header_checksum and
|
||||
self.ip_source_ip == other.ip_source_ip and
|
||||
self.ip_dest_ip == other.ip_dest_ip and
|
||||
self.payload == other.payload)
|
||||
|
||||
def __repr__(self):
|
||||
return (('IPFrame(payload=%s, ' % repr(self.payload)) +
|
||||
('eth_dest_mac=0x%012x, ' % self.eth_dest_mac) +
|
||||
('eth_src_mac=0x%012x, ' % self.eth_src_mac) +
|
||||
('eth_type=0x%04x, ' % self.eth_type) +
|
||||
('ip_version=%d, ' % self.ip_version) +
|
||||
('ip_ihl=%d, ' % self.ip_ihl) +
|
||||
('ip_dscp=%d, ' % self.ip_dscp) +
|
||||
('ip_ecn=%d, ' % self.ip_ecn) +
|
||||
('ip_length=%d, ' % self.ip_length) +
|
||||
('ip_identification=%d, ' % self.ip_identification) +
|
||||
('ip_flags=%d, ' % self.ip_flags) +
|
||||
('ip_fragment_offset=%d, ' % self.ip_fragment_offset) +
|
||||
('ip_ttl=%d, ' % self.ip_ttl) +
|
||||
('ip_protocol=0x%02x, ' % self.ip_protocol) +
|
||||
('ip_header_checksum=%x, ' % self.ip_header_checksum) +
|
||||
('ip_source_ip=0x%08x, ' % self.ip_source_ip) +
|
||||
('ip_dest_ip=0x%08x)' % self.ip_dest_ip))
|
||||
|
||||
def IPFrameSource(clk, rst,
|
||||
ip_hdr_valid=None,
|
||||
ip_hdr_ready=None,
|
||||
eth_dest_mac=Signal(intbv(0)[48:]),
|
||||
eth_src_mac=Signal(intbv(0)[48:]),
|
||||
eth_type=Signal(intbv(0)[16:]),
|
||||
ip_version=Signal(intbv(4)[4:]),
|
||||
ip_ihl=Signal(intbv(5)[4:]),
|
||||
ip_dscp=Signal(intbv(0)[6:]),
|
||||
ip_ecn=Signal(intbv(0)[2:]),
|
||||
ip_length=Signal(intbv(0)[16:]),
|
||||
ip_identification=Signal(intbv(0)[16:]),
|
||||
ip_flags=Signal(intbv(0)[3:]),
|
||||
ip_fragment_offset=Signal(intbv(0)[13:]),
|
||||
ip_ttl=Signal(intbv(0)[8:]),
|
||||
ip_protocol=Signal(intbv(0)[8:]),
|
||||
ip_header_checksum=Signal(intbv(0)[16:]),
|
||||
ip_source_ip=Signal(intbv(0)[32:]),
|
||||
ip_dest_ip=Signal(intbv(0)[32:]),
|
||||
ip_payload_tdata=None,
|
||||
ip_payload_tkeep=Signal(bool(True)),
|
||||
ip_payload_tvalid=Signal(bool(False)),
|
||||
ip_payload_tready=Signal(bool(True)),
|
||||
ip_payload_tlast=Signal(bool(False)),
|
||||
ip_payload_tuser=Signal(bool(False)),
|
||||
fifo=None,
|
||||
pause=0,
|
||||
name=None):
|
||||
|
||||
ip_hdr_ready_int = Signal(bool(False))
|
||||
ip_hdr_valid_int = Signal(bool(False))
|
||||
ip_payload_pause = Signal(bool(False))
|
||||
|
||||
ip_payload_fifo = Queue()
|
||||
|
||||
ip_payload_source = axis_ep.AXIStreamSource(clk,
|
||||
rst,
|
||||
tdata=ip_payload_tdata,
|
||||
tkeep=ip_payload_tkeep,
|
||||
tvalid=ip_payload_tvalid,
|
||||
tready=ip_payload_tready,
|
||||
tlast=ip_payload_tlast,
|
||||
tuser=ip_payload_tuser,
|
||||
fifo=ip_payload_fifo,
|
||||
pause=ip_payload_pause)
|
||||
|
||||
@always_comb
|
||||
def pause_logic():
|
||||
ip_hdr_ready_int.next = ip_hdr_ready and not pause
|
||||
ip_hdr_valid.next = ip_hdr_valid_int and not pause
|
||||
ip_payload_pause.next = pause # or ip_hdr_valid_int
|
||||
|
||||
@instance
|
||||
def logic():
|
||||
frame = dict()
|
||||
|
||||
while True:
|
||||
yield clk.posedge, rst.posedge
|
||||
|
||||
if rst:
|
||||
ip_hdr_valid_int.next = False
|
||||
else:
|
||||
if ip_hdr_ready_int:
|
||||
ip_hdr_valid_int.next = False
|
||||
if (ip_payload_tlast and ip_hdr_ready_int and ip_hdr_valid) or not ip_hdr_valid_int:
|
||||
if not fifo.empty():
|
||||
frame = fifo.get()
|
||||
frame = IPFrame(frame)
|
||||
frame.build()
|
||||
eth_dest_mac.next = frame.eth_dest_mac
|
||||
eth_src_mac.next = frame.eth_src_mac
|
||||
eth_type.next = frame.eth_type
|
||||
ip_version.next = frame.ip_version
|
||||
ip_ihl.next = frame.ip_ihl
|
||||
ip_dscp.next = frame.ip_dscp
|
||||
ip_ecn.next = frame.ip_ecn
|
||||
ip_length.next = frame.ip_length
|
||||
ip_identification.next = frame.ip_identification
|
||||
ip_flags.next = frame.ip_flags
|
||||
ip_fragment_offset.next = frame.ip_fragment_offset
|
||||
ip_ttl.next = frame.ip_ttl
|
||||
ip_protocol.next = frame.ip_protocol
|
||||
ip_header_checksum.next = frame.ip_header_checksum
|
||||
ip_source_ip.next = frame.ip_source_ip
|
||||
ip_dest_ip.next = frame.ip_dest_ip
|
||||
ip_payload_fifo.put(frame.payload)
|
||||
|
||||
if name is not None:
|
||||
print("[%s] Sending frame %s" % (name, repr(frame)))
|
||||
|
||||
ip_hdr_valid_int.next = True
|
||||
|
||||
return logic, pause_logic, ip_payload_source
|
||||
|
||||
|
||||
def IPFrameSink(clk, rst,
|
||||
ip_hdr_valid=None,
|
||||
ip_hdr_ready=None,
|
||||
eth_dest_mac=Signal(intbv(0)[48:]),
|
||||
eth_src_mac=Signal(intbv(0)[48:]),
|
||||
eth_type=Signal(intbv(0)[16:]),
|
||||
ip_version=Signal(intbv(4)[4:]),
|
||||
ip_ihl=Signal(intbv(5)[4:]),
|
||||
ip_dscp=Signal(intbv(0)[6:]),
|
||||
ip_ecn=Signal(intbv(0)[2:]),
|
||||
ip_length=Signal(intbv(0)[16:]),
|
||||
ip_identification=Signal(intbv(0)[16:]),
|
||||
ip_flags=Signal(intbv(0)[3:]),
|
||||
ip_fragment_offset=Signal(intbv(0)[13:]),
|
||||
ip_ttl=Signal(intbv(0)[8:]),
|
||||
ip_protocol=Signal(intbv(0)[8:]),
|
||||
ip_header_checksum=Signal(intbv(0)[16:]),
|
||||
ip_source_ip=Signal(intbv(0)[32:]),
|
||||
ip_dest_ip=Signal(intbv(0)[32:]),
|
||||
ip_payload_tdata=None,
|
||||
ip_payload_tkeep=Signal(bool(True)),
|
||||
ip_payload_tvalid=Signal(bool(True)),
|
||||
ip_payload_tready=Signal(bool(True)),
|
||||
ip_payload_tlast=Signal(bool(True)),
|
||||
ip_payload_tuser=Signal(bool(False)),
|
||||
fifo=None,
|
||||
pause=0,
|
||||
name=None):
|
||||
|
||||
ip_hdr_ready_int = Signal(bool(False))
|
||||
ip_hdr_valid_int = Signal(bool(False))
|
||||
ip_payload_pause = Signal(bool(False))
|
||||
|
||||
ip_payload_fifo = Queue()
|
||||
ip_header_fifo = Queue()
|
||||
|
||||
ip_payload_sink = axis_ep.AXIStreamSink(clk,
|
||||
rst,
|
||||
tdata=ip_payload_tdata,
|
||||
tkeep=ip_payload_tkeep,
|
||||
tvalid=ip_payload_tvalid,
|
||||
tready=ip_payload_tready,
|
||||
tlast=ip_payload_tlast,
|
||||
tuser=ip_payload_tuser,
|
||||
fifo=ip_payload_fifo,
|
||||
pause=ip_payload_pause)
|
||||
|
||||
@always_comb
|
||||
def pause_logic():
|
||||
ip_hdr_ready.next = ip_hdr_ready_int and not pause
|
||||
ip_hdr_valid_int.next = ip_hdr_valid and not pause
|
||||
ip_payload_pause.next = pause # or ip_hdr_valid_int
|
||||
|
||||
@instance
|
||||
def logic():
|
||||
frame = IPFrame()
|
||||
|
||||
while True:
|
||||
yield clk.posedge, rst.posedge
|
||||
|
||||
if rst:
|
||||
ip_hdr_ready_int.next = False
|
||||
frame = IPFrame()
|
||||
else:
|
||||
ip_hdr_ready_int.next = True
|
||||
|
||||
if ip_hdr_ready_int and ip_hdr_valid_int:
|
||||
frame = IPFrame()
|
||||
frame.eth_dest_mac = int(eth_dest_mac)
|
||||
frame.eth_src_mac = int(eth_src_mac)
|
||||
frame.eth_type = int(eth_type)
|
||||
frame.ip_version = int(ip_version)
|
||||
frame.ip_ihl = int(ip_ihl)
|
||||
frame.ip_dscp = int(ip_dscp)
|
||||
frame.ip_ecn = int(ip_ecn)
|
||||
frame.ip_length = int(ip_length)
|
||||
frame.ip_identification = int(ip_identification)
|
||||
frame.ip_flags = int(ip_flags)
|
||||
frame.ip_fragment_offset = int(ip_fragment_offset)
|
||||
frame.ip_ttl = int(ip_ttl)
|
||||
frame.ip_protocol = int(ip_protocol)
|
||||
frame.ip_header_checksum = int(ip_header_checksum)
|
||||
frame.ip_source_ip = int(ip_source_ip)
|
||||
frame.ip_dest_ip = int(ip_dest_ip)
|
||||
ip_header_fifo.put(frame)
|
||||
|
||||
if not ip_payload_fifo.empty() and not ip_header_fifo.empty():
|
||||
frame = ip_header_fifo.get()
|
||||
frame.payload = ip_payload_fifo.get()
|
||||
fifo.put(frame)
|
||||
|
||||
if name is not None:
|
||||
print("[%s] Got frame %s" % (name, repr(frame)))
|
||||
|
||||
frame = dict()
|
||||
|
||||
return logic, pause_logic, ip_payload_sink
|
||||
|
996
tb/test_ip_eth_rx.py
Executable file
996
tb/test_ip_eth_rx.py
Executable file
@ -0,0 +1,996 @@
|
||||
#!/usr/bin/env python2
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import os
|
||||
from Queue import Queue
|
||||
|
||||
import eth_ep
|
||||
import ip_ep
|
||||
|
||||
module = 'ip_eth_rx'
|
||||
|
||||
srcs = []
|
||||
|
||||
srcs.append("../rtl/%s.v" % module)
|
||||
srcs.append("test_%s.v" % module)
|
||||
|
||||
src = ' '.join(srcs)
|
||||
|
||||
build_cmd = "iverilog -o test_%s.vvp %s" % (module, src)
|
||||
|
||||
def dut_ip_eth_rx(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_eth_hdr_valid,
|
||||
input_eth_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tready,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid,
|
||||
output_ip_hdr_ready,
|
||||
output_eth_dest_mac,
|
||||
output_eth_src_mac,
|
||||
output_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tready,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
|
||||
busy,
|
||||
error_header_early_termination,
|
||||
error_payload_early_termination,
|
||||
error_invalid_header,
|
||||
error_invalid_checksum):
|
||||
|
||||
if os.system(build_cmd):
|
||||
raise Exception("Error running build command")
|
||||
return Cosimulation("vvp -m myhdl test_%s.vvp -lxt2" % module,
|
||||
clk=clk,
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_eth_hdr_valid=input_eth_hdr_valid,
|
||||
input_eth_hdr_ready=input_eth_hdr_ready,
|
||||
input_eth_dest_mac=input_eth_dest_mac,
|
||||
input_eth_src_mac=input_eth_src_mac,
|
||||
input_eth_type=input_eth_type,
|
||||
input_eth_payload_tdata=input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid=input_eth_payload_tvalid,
|
||||
input_eth_payload_tready=input_eth_payload_tready,
|
||||
input_eth_payload_tlast=input_eth_payload_tlast,
|
||||
input_eth_payload_tuser=input_eth_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid=output_ip_hdr_valid,
|
||||
output_ip_hdr_ready=output_ip_hdr_ready,
|
||||
output_eth_dest_mac=output_eth_dest_mac,
|
||||
output_eth_src_mac=output_eth_src_mac,
|
||||
output_eth_type=output_eth_type,
|
||||
output_ip_version=output_ip_version,
|
||||
output_ip_ihl=output_ip_ihl,
|
||||
output_ip_dscp=output_ip_dscp,
|
||||
output_ip_ecn=output_ip_ecn,
|
||||
output_ip_length=output_ip_length,
|
||||
output_ip_identification=output_ip_identification,
|
||||
output_ip_flags=output_ip_flags,
|
||||
output_ip_fragment_offset=output_ip_fragment_offset,
|
||||
output_ip_ttl=output_ip_ttl,
|
||||
output_ip_protocol=output_ip_protocol,
|
||||
output_ip_header_checksum=output_ip_header_checksum,
|
||||
output_ip_source_ip=output_ip_source_ip,
|
||||
output_ip_dest_ip=output_ip_dest_ip,
|
||||
output_ip_payload_tdata=output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid=output_ip_payload_tvalid,
|
||||
output_ip_payload_tready=output_ip_payload_tready,
|
||||
output_ip_payload_tlast=output_ip_payload_tlast,
|
||||
output_ip_payload_tuser=output_ip_payload_tuser,
|
||||
|
||||
busy=busy,
|
||||
error_header_early_termination=error_header_early_termination,
|
||||
error_payload_early_termination=error_payload_early_termination,
|
||||
error_invalid_header=error_invalid_header,
|
||||
error_invalid_checksum=error_invalid_checksum)
|
||||
|
||||
def bench():
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_eth_hdr_valid = Signal(bool(0))
|
||||
input_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_eth_type = Signal(intbv(0)[16:])
|
||||
input_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
input_eth_payload_tvalid = Signal(bool(0))
|
||||
input_eth_payload_tlast = Signal(bool(0))
|
||||
input_eth_payload_tuser = Signal(bool(0))
|
||||
output_ip_hdr_ready = Signal(bool(0))
|
||||
output_ip_payload_tready = Signal(bool(0))
|
||||
|
||||
# Outputs
|
||||
input_eth_hdr_ready = Signal(bool(0))
|
||||
input_eth_payload_tready = Signal(bool(0))
|
||||
output_ip_hdr_valid = Signal(bool(0))
|
||||
output_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_eth_type = Signal(intbv(0)[16:])
|
||||
output_ip_version = Signal(intbv(0)[4:])
|
||||
output_ip_ihl = Signal(intbv(0)[4:])
|
||||
output_ip_dscp = Signal(intbv(0)[6:])
|
||||
output_ip_ecn = Signal(intbv(0)[2:])
|
||||
output_ip_length = Signal(intbv(0)[16:])
|
||||
output_ip_identification = Signal(intbv(0)[16:])
|
||||
output_ip_flags = Signal(intbv(0)[3:])
|
||||
output_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
output_ip_ttl = Signal(intbv(0)[8:])
|
||||
output_ip_protocol = Signal(intbv(0)[8:])
|
||||
output_ip_header_checksum = Signal(intbv(0)[16:])
|
||||
output_ip_source_ip = Signal(intbv(0)[32:])
|
||||
output_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
output_ip_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_ip_payload_tvalid = Signal(bool(0))
|
||||
output_ip_payload_tlast = Signal(bool(0))
|
||||
output_ip_payload_tuser = Signal(bool(0))
|
||||
busy = Signal(bool(0))
|
||||
error_header_early_termination = Signal(bool(0))
|
||||
error_payload_early_termination = Signal(bool(0))
|
||||
error_invalid_header = Signal(bool(0))
|
||||
error_invalid_checksum = Signal(bool(0))
|
||||
|
||||
# sources and sinks
|
||||
source_queue = Queue()
|
||||
source_pause = Signal(bool(0))
|
||||
sink_queue = Queue()
|
||||
sink_pause = Signal(bool(0))
|
||||
|
||||
source = eth_ep.EthFrameSource(clk,
|
||||
rst,
|
||||
eth_hdr_ready=input_eth_hdr_ready,
|
||||
eth_hdr_valid=input_eth_hdr_valid,
|
||||
eth_dest_mac=input_eth_dest_mac,
|
||||
eth_src_mac=input_eth_src_mac,
|
||||
eth_type=input_eth_type,
|
||||
eth_payload_tdata=input_eth_payload_tdata,
|
||||
eth_payload_tvalid=input_eth_payload_tvalid,
|
||||
eth_payload_tready=input_eth_payload_tready,
|
||||
eth_payload_tlast=input_eth_payload_tlast,
|
||||
eth_payload_tuser=input_eth_payload_tuser,
|
||||
fifo=source_queue,
|
||||
pause=source_pause,
|
||||
name='source')
|
||||
|
||||
sink = ip_ep.IPFrameSink(clk,
|
||||
rst,
|
||||
ip_hdr_ready=output_ip_hdr_ready,
|
||||
ip_hdr_valid=output_ip_hdr_valid,
|
||||
eth_dest_mac=output_eth_dest_mac,
|
||||
eth_src_mac=output_eth_src_mac,
|
||||
eth_type=output_eth_type,
|
||||
ip_version=output_ip_version,
|
||||
ip_ihl=output_ip_ihl,
|
||||
ip_dscp=output_ip_dscp,
|
||||
ip_ecn=output_ip_ecn,
|
||||
ip_length=output_ip_length,
|
||||
ip_identification=output_ip_identification,
|
||||
ip_flags=output_ip_flags,
|
||||
ip_fragment_offset=output_ip_fragment_offset,
|
||||
ip_ttl=output_ip_ttl,
|
||||
ip_protocol=output_ip_protocol,
|
||||
ip_header_checksum=output_ip_header_checksum,
|
||||
ip_source_ip=output_ip_source_ip,
|
||||
ip_dest_ip=output_ip_dest_ip,
|
||||
ip_payload_tdata=output_ip_payload_tdata,
|
||||
ip_payload_tvalid=output_ip_payload_tvalid,
|
||||
ip_payload_tready=output_ip_payload_tready,
|
||||
ip_payload_tlast=output_ip_payload_tlast,
|
||||
ip_payload_tuser=output_ip_payload_tuser,
|
||||
fifo=sink_queue,
|
||||
pause=sink_pause,
|
||||
name='sink')
|
||||
|
||||
# DUT
|
||||
dut = dut_ip_eth_rx(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_eth_hdr_valid,
|
||||
input_eth_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tready,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
|
||||
output_ip_hdr_valid,
|
||||
output_ip_hdr_ready,
|
||||
output_eth_dest_mac,
|
||||
output_eth_src_mac,
|
||||
output_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tready,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
|
||||
busy,
|
||||
error_header_early_termination,
|
||||
error_payload_early_termination,
|
||||
error_invalid_header,
|
||||
error_invalid_checksum)
|
||||
|
||||
@always(delay(4))
|
||||
def clkgen():
|
||||
clk.next = not clk
|
||||
|
||||
@instance
|
||||
def check():
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
rst.next = 1
|
||||
yield clk.posedge
|
||||
rst.next = 0
|
||||
yield clk.posedge
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
print("test 1: test packet")
|
||||
current_test.next = 1
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 2: longer packet")
|
||||
current_test.next = 2
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = bytearray(range(256))
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 3: test packet with pauses")
|
||||
current_test.next = 3
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = bytearray(range(256))
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield delay(128)
|
||||
yield clk.posedge
|
||||
source_pause.next = True
|
||||
yield delay(32)
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
|
||||
yield delay(64)
|
||||
yield clk.posedge
|
||||
sink_pause.next = True
|
||||
yield delay(32)
|
||||
yield clk.posedge
|
||||
sink_pause.next = False
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 4: back-to-back packets")
|
||||
current_test.next = 4
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1.build_eth())
|
||||
source_queue.put(test_frame2.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 5: alternate pause source")
|
||||
current_test.next = 5
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1.build_eth())
|
||||
source_queue.put(test_frame2.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or output_ip_payload_tvalid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 6: alternate pause sink")
|
||||
current_test.next = 6
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1.build_eth())
|
||||
source_queue.put(test_frame2.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or output_ip_payload_tvalid:
|
||||
sink_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
sink_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 7: alternate pause source 2")
|
||||
current_test.next = 7
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(33))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1.build_eth())
|
||||
source_queue.put(test_frame2.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or output_ip_payload_tvalid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 8: alternate pause sink 2")
|
||||
current_test.next = 8
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(33))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(33))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1.build_eth())
|
||||
source_queue.put(test_frame2.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
while input_eth_payload_tvalid or output_ip_payload_tvalid:
|
||||
sink_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
sink_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 9: tuser assert")
|
||||
current_test.next = 9
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
eth_frame = test_frame.build_eth()
|
||||
eth_frame.payload.user = 1
|
||||
|
||||
source_queue.put(eth_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
assert rx_frame.payload.user[-1]
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 10: truncated packet")
|
||||
current_test.next = 10
|
||||
|
||||
eth_frame = eth_ep.EthFrame()
|
||||
eth_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
eth_frame.eth_src_mac = 0x5A5152535455
|
||||
eth_frame.eth_type = 0x0800
|
||||
eth_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
|
||||
source_queue.put(eth_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield input_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
assert error_header_early_termination
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 11: trailing bytes")
|
||||
current_test.next = 11
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
eth_frame = test_frame.build_eth()
|
||||
eth_frame.payload.data += '\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00'
|
||||
|
||||
source_queue.put(eth_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
|
||||
yield clk.posedge
|
||||
print("test 12: trailing bytes with tuser assert")
|
||||
current_test.next = 12
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
eth_frame = test_frame.build_eth()
|
||||
eth_frame.payload.data += '\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00'
|
||||
eth_frame.payload.user = 1
|
||||
|
||||
source_queue.put(eth_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
assert rx_frame == test_frame
|
||||
assert rx_frame.payload.user[-1]
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 13: truncated payload")
|
||||
current_test.next = 13
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = 32
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02'
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield output_ip_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
assert error_payload_early_termination
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
#assert rx_frame == test_frame
|
||||
assert rx_frame.payload.user[-1]
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 14: bad IHL")
|
||||
current_test.next = 14
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 6
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield delay(160)
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
assert error_invalid_header
|
||||
|
||||
yield input_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 15: bad checksum")
|
||||
current_test.next = 15
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = 0x1234
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame.build_eth())
|
||||
yield clk.posedge
|
||||
|
||||
yield delay(160)
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
assert error_invalid_checksum
|
||||
|
||||
yield input_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
yield delay(100)
|
||||
|
||||
raise StopSimulation
|
||||
|
||||
return dut, source, sink, clkgen, check
|
||||
|
||||
def test_bench():
|
||||
sim = Simulation(bench())
|
||||
sim.run()
|
||||
|
||||
if __name__ == '__main__':
|
||||
print("Running test...")
|
||||
test_bench()
|
||||
|
173
tb/test_ip_eth_rx.v
Normal file
173
tb/test_ip_eth_rx.v
Normal file
@ -0,0 +1,173 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1 ns / 1 ps
|
||||
|
||||
module test_ip_eth_rx;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg input_eth_hdr_valid = 0;
|
||||
reg [47:0] input_eth_dest_mac = 0;
|
||||
reg [47:0] input_eth_src_mac = 0;
|
||||
reg [15:0] input_eth_type = 0;
|
||||
reg [7:0] input_eth_payload_tdata = 0;
|
||||
reg input_eth_payload_tvalid = 0;
|
||||
reg input_eth_payload_tlast = 0;
|
||||
reg input_eth_payload_tuser = 0;
|
||||
reg output_ip_hdr_ready = 0;
|
||||
reg output_ip_payload_tready = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_eth_hdr_ready;
|
||||
wire input_eth_payload_tready;
|
||||
wire output_ip_hdr_valid;
|
||||
wire [47:0] output_eth_dest_mac;
|
||||
wire [47:0] output_eth_src_mac;
|
||||
wire [15:0] output_eth_type;
|
||||
wire [3:0] output_ip_version;
|
||||
wire [3:0] output_ip_ihl;
|
||||
wire [5:0] output_ip_dscp;
|
||||
wire [1:0] output_ip_ecn;
|
||||
wire [15:0] output_ip_length;
|
||||
wire [15:0] output_ip_identification;
|
||||
wire [2:0] output_ip_flags;
|
||||
wire [12:0] output_ip_fragment_offset;
|
||||
wire [7:0] output_ip_ttl;
|
||||
wire [7:0] output_ip_protocol;
|
||||
wire [15:0] output_ip_header_checksum;
|
||||
wire [31:0] output_ip_source_ip;
|
||||
wire [31:0] output_ip_dest_ip;
|
||||
wire [7:0] output_ip_payload_tdata;
|
||||
wire output_ip_payload_tvalid;
|
||||
wire output_ip_payload_tlast;
|
||||
wire output_ip_payload_tuser;
|
||||
wire busy;
|
||||
wire error_header_early_termination;
|
||||
wire error_payload_early_termination;
|
||||
wire error_invalid_header;
|
||||
wire error_invalid_checksum;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
$from_myhdl(clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_eth_hdr_valid,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_eth_payload_tdata,
|
||||
input_eth_payload_tvalid,
|
||||
input_eth_payload_tlast,
|
||||
input_eth_payload_tuser,
|
||||
output_ip_hdr_ready,
|
||||
output_ip_payload_tready);
|
||||
$to_myhdl(input_eth_hdr_ready,
|
||||
input_eth_payload_tready,
|
||||
output_ip_hdr_valid,
|
||||
output_eth_dest_mac,
|
||||
output_eth_src_mac,
|
||||
output_eth_type,
|
||||
output_ip_version,
|
||||
output_ip_ihl,
|
||||
output_ip_dscp,
|
||||
output_ip_ecn,
|
||||
output_ip_length,
|
||||
output_ip_identification,
|
||||
output_ip_flags,
|
||||
output_ip_fragment_offset,
|
||||
output_ip_ttl,
|
||||
output_ip_protocol,
|
||||
output_ip_header_checksum,
|
||||
output_ip_source_ip,
|
||||
output_ip_dest_ip,
|
||||
output_ip_payload_tdata,
|
||||
output_ip_payload_tvalid,
|
||||
output_ip_payload_tlast,
|
||||
output_ip_payload_tuser,
|
||||
busy,
|
||||
error_header_early_termination,
|
||||
error_payload_early_termination,
|
||||
error_invalid_header,
|
||||
error_invalid_checksum);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_ip_eth_rx.lxt");
|
||||
$dumpvars(0, test_ip_eth_rx);
|
||||
end
|
||||
|
||||
ip_eth_rx
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// Ethernet frame input
|
||||
.input_eth_hdr_valid(input_eth_hdr_valid),
|
||||
.input_eth_hdr_ready(input_eth_hdr_ready),
|
||||
.input_eth_dest_mac(input_eth_dest_mac),
|
||||
.input_eth_src_mac(input_eth_src_mac),
|
||||
.input_eth_type(input_eth_type),
|
||||
.input_eth_payload_tdata(input_eth_payload_tdata),
|
||||
.input_eth_payload_tvalid(input_eth_payload_tvalid),
|
||||
.input_eth_payload_tready(input_eth_payload_tready),
|
||||
.input_eth_payload_tlast(input_eth_payload_tlast),
|
||||
.input_eth_payload_tuser(input_eth_payload_tuser),
|
||||
// IP frame output
|
||||
.output_ip_hdr_valid(output_ip_hdr_valid),
|
||||
.output_ip_hdr_ready(output_ip_hdr_ready),
|
||||
.output_eth_dest_mac(output_eth_dest_mac),
|
||||
.output_eth_src_mac(output_eth_src_mac),
|
||||
.output_eth_type(output_eth_type),
|
||||
.output_ip_version(output_ip_version),
|
||||
.output_ip_ihl(output_ip_ihl),
|
||||
.output_ip_dscp(output_ip_dscp),
|
||||
.output_ip_ecn(output_ip_ecn),
|
||||
.output_ip_length(output_ip_length),
|
||||
.output_ip_identification(output_ip_identification),
|
||||
.output_ip_flags(output_ip_flags),
|
||||
.output_ip_fragment_offset(output_ip_fragment_offset),
|
||||
.output_ip_ttl(output_ip_ttl),
|
||||
.output_ip_protocol(output_ip_protocol),
|
||||
.output_ip_header_checksum(output_ip_header_checksum),
|
||||
.output_ip_source_ip(output_ip_source_ip),
|
||||
.output_ip_dest_ip(output_ip_dest_ip),
|
||||
.output_ip_payload_tdata(output_ip_payload_tdata),
|
||||
.output_ip_payload_tvalid(output_ip_payload_tvalid),
|
||||
.output_ip_payload_tready(output_ip_payload_tready),
|
||||
.output_ip_payload_tlast(output_ip_payload_tlast),
|
||||
.output_ip_payload_tuser(output_ip_payload_tuser),
|
||||
// Status signals
|
||||
.busy(busy),
|
||||
.error_header_early_termination(error_header_early_termination),
|
||||
.error_payload_early_termination(error_payload_early_termination),
|
||||
.error_invalid_header(error_invalid_header),
|
||||
.error_invalid_checksum(error_invalid_checksum)
|
||||
);
|
||||
|
||||
endmodule
|
945
tb/test_ip_eth_tx.py
Executable file
945
tb/test_ip_eth_tx.py
Executable file
@ -0,0 +1,945 @@
|
||||
#!/usr/bin/env python2
|
||||
"""
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
"""
|
||||
|
||||
from myhdl import *
|
||||
import os
|
||||
from Queue import Queue
|
||||
|
||||
import eth_ep
|
||||
import ip_ep
|
||||
|
||||
module = 'ip_eth_tx'
|
||||
|
||||
srcs = []
|
||||
|
||||
srcs.append("../rtl/%s.v" % module)
|
||||
srcs.append("test_%s.v" % module)
|
||||
|
||||
src = ' '.join(srcs)
|
||||
|
||||
build_cmd = "iverilog -o test_%s.vvp %s" % (module, src)
|
||||
|
||||
def dut_ip_eth_tx(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_ip_hdr_valid,
|
||||
input_ip_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tready,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
|
||||
output_eth_hdr_valid,
|
||||
output_eth_hdr_ready,
|
||||
output_eth_dest_mac,
|
||||
output_eth_src_mac,
|
||||
output_eth_type,
|
||||
output_eth_payload_tdata,
|
||||
output_eth_payload_tvalid,
|
||||
output_eth_payload_tready,
|
||||
output_eth_payload_tlast,
|
||||
output_eth_payload_tuser,
|
||||
|
||||
busy,
|
||||
error_payload_early_termination):
|
||||
|
||||
if os.system(build_cmd):
|
||||
raise Exception("Error running build command")
|
||||
return Cosimulation("vvp -m myhdl test_%s.vvp -lxt2" % module,
|
||||
clk=clk,
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_ip_hdr_valid=input_ip_hdr_valid,
|
||||
input_ip_hdr_ready=input_ip_hdr_ready,
|
||||
input_eth_dest_mac=input_eth_dest_mac,
|
||||
input_eth_src_mac=input_eth_src_mac,
|
||||
input_eth_type=input_eth_type,
|
||||
input_ip_dscp=input_ip_dscp,
|
||||
input_ip_ecn=input_ip_ecn,
|
||||
input_ip_length=input_ip_length,
|
||||
input_ip_identification=input_ip_identification,
|
||||
input_ip_flags=input_ip_flags,
|
||||
input_ip_fragment_offset=input_ip_fragment_offset,
|
||||
input_ip_ttl=input_ip_ttl,
|
||||
input_ip_protocol=input_ip_protocol,
|
||||
input_ip_source_ip=input_ip_source_ip,
|
||||
input_ip_dest_ip=input_ip_dest_ip,
|
||||
input_ip_payload_tdata=input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid=input_ip_payload_tvalid,
|
||||
input_ip_payload_tready=input_ip_payload_tready,
|
||||
input_ip_payload_tlast=input_ip_payload_tlast,
|
||||
input_ip_payload_tuser=input_ip_payload_tuser,
|
||||
|
||||
output_eth_hdr_valid=output_eth_hdr_valid,
|
||||
output_eth_hdr_ready=output_eth_hdr_ready,
|
||||
output_eth_dest_mac=output_eth_dest_mac,
|
||||
output_eth_src_mac=output_eth_src_mac,
|
||||
output_eth_type=output_eth_type,
|
||||
output_eth_payload_tdata=output_eth_payload_tdata,
|
||||
output_eth_payload_tvalid=output_eth_payload_tvalid,
|
||||
output_eth_payload_tready=output_eth_payload_tready,
|
||||
output_eth_payload_tlast=output_eth_payload_tlast,
|
||||
output_eth_payload_tuser=output_eth_payload_tuser,
|
||||
|
||||
busy=busy,
|
||||
error_payload_early_termination=error_payload_early_termination)
|
||||
|
||||
def bench():
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_ip_hdr_valid = Signal(bool(0))
|
||||
input_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
input_eth_src_mac = Signal(intbv(0)[48:])
|
||||
input_eth_type = Signal(intbv(0)[16:])
|
||||
input_ip_dscp = Signal(intbv(0)[6:])
|
||||
input_ip_ecn = Signal(intbv(0)[2:])
|
||||
input_ip_length = Signal(intbv(0)[16:])
|
||||
input_ip_identification = Signal(intbv(0)[16:])
|
||||
input_ip_flags = Signal(intbv(0)[3:])
|
||||
input_ip_fragment_offset = Signal(intbv(0)[13:])
|
||||
input_ip_ttl = Signal(intbv(0)[8:])
|
||||
input_ip_protocol = Signal(intbv(0)[8:])
|
||||
input_ip_source_ip = Signal(intbv(0)[32:])
|
||||
input_ip_dest_ip = Signal(intbv(0)[32:])
|
||||
input_ip_payload_tdata = Signal(intbv(0)[8:])
|
||||
input_ip_payload_tvalid = Signal(bool(0))
|
||||
input_ip_payload_tlast = Signal(bool(0))
|
||||
input_ip_payload_tuser = Signal(bool(0))
|
||||
output_eth_payload_tready = Signal(bool(0))
|
||||
output_eth_hdr_ready = Signal(bool(0))
|
||||
|
||||
# Outputs
|
||||
input_ip_hdr_ready = Signal(bool(0))
|
||||
input_ip_payload_tready = Signal(bool(0))
|
||||
output_eth_hdr_valid = Signal(bool(0))
|
||||
output_eth_dest_mac = Signal(intbv(0)[48:])
|
||||
output_eth_src_mac = Signal(intbv(0)[48:])
|
||||
output_eth_type = Signal(intbv(0)[16:])
|
||||
output_eth_payload_tdata = Signal(intbv(0)[8:])
|
||||
output_eth_payload_tvalid = Signal(bool(0))
|
||||
output_eth_payload_tlast = Signal(bool(0))
|
||||
output_eth_payload_tuser = Signal(bool(0))
|
||||
busy = Signal(bool(0))
|
||||
error_payload_early_termination = Signal(bool(0))
|
||||
|
||||
# sources and sinks
|
||||
source_queue = Queue()
|
||||
source_pause = Signal(bool(0))
|
||||
sink_queue = Queue()
|
||||
sink_pause = Signal(bool(0))
|
||||
|
||||
source = ip_ep.IPFrameSource(clk,
|
||||
rst,
|
||||
ip_hdr_valid=input_ip_hdr_valid,
|
||||
ip_hdr_ready=input_ip_hdr_ready,
|
||||
eth_dest_mac=input_eth_dest_mac,
|
||||
eth_src_mac=input_eth_src_mac,
|
||||
eth_type=input_eth_type,
|
||||
ip_dscp=input_ip_dscp,
|
||||
ip_ecn=input_ip_ecn,
|
||||
ip_length=input_ip_length,
|
||||
ip_identification=input_ip_identification,
|
||||
ip_flags=input_ip_flags,
|
||||
ip_fragment_offset=input_ip_fragment_offset,
|
||||
ip_ttl=input_ip_ttl,
|
||||
ip_protocol=input_ip_protocol,
|
||||
ip_source_ip=input_ip_source_ip,
|
||||
ip_dest_ip=input_ip_dest_ip,
|
||||
ip_payload_tdata=input_ip_payload_tdata,
|
||||
ip_payload_tvalid=input_ip_payload_tvalid,
|
||||
ip_payload_tready=input_ip_payload_tready,
|
||||
ip_payload_tlast=input_ip_payload_tlast,
|
||||
ip_payload_tuser=input_ip_payload_tuser,
|
||||
fifo=source_queue,
|
||||
pause=source_pause,
|
||||
name='source')
|
||||
|
||||
sink = eth_ep.EthFrameSink(clk,
|
||||
rst,
|
||||
eth_hdr_ready=output_eth_hdr_ready,
|
||||
eth_hdr_valid=output_eth_hdr_valid,
|
||||
eth_dest_mac=output_eth_dest_mac,
|
||||
eth_src_mac=output_eth_src_mac,
|
||||
eth_type=output_eth_type,
|
||||
eth_payload_tdata=output_eth_payload_tdata,
|
||||
eth_payload_tvalid=output_eth_payload_tvalid,
|
||||
eth_payload_tready=output_eth_payload_tready,
|
||||
eth_payload_tlast=output_eth_payload_tlast,
|
||||
eth_payload_tuser=output_eth_payload_tuser,
|
||||
fifo=sink_queue,
|
||||
pause=sink_pause,
|
||||
name='sink')
|
||||
|
||||
# DUT
|
||||
dut = dut_ip_eth_tx(clk,
|
||||
rst,
|
||||
current_test,
|
||||
|
||||
input_ip_hdr_valid,
|
||||
input_ip_hdr_ready,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tready,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
|
||||
output_eth_hdr_valid,
|
||||
output_eth_hdr_ready,
|
||||
output_eth_dest_mac,
|
||||
output_eth_src_mac,
|
||||
output_eth_type,
|
||||
output_eth_payload_tdata,
|
||||
output_eth_payload_tvalid,
|
||||
output_eth_payload_tready,
|
||||
output_eth_payload_tlast,
|
||||
output_eth_payload_tuser,
|
||||
|
||||
busy,
|
||||
error_payload_early_termination)
|
||||
|
||||
@always(delay(4))
|
||||
def clkgen():
|
||||
clk.next = not clk
|
||||
|
||||
@instance
|
||||
def check():
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
rst.next = 1
|
||||
yield clk.posedge
|
||||
rst.next = 0
|
||||
yield clk.posedge
|
||||
yield delay(100)
|
||||
yield clk.posedge
|
||||
|
||||
output_eth_hdr_ready.next = True
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
print("test 1: test packet")
|
||||
current_test.next = 1
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 2: longer packet")
|
||||
current_test.next = 2
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = bytearray(range(256))
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 3: test packet with pauses")
|
||||
current_test.next = 3
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = bytearray(range(256))
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield delay(64)
|
||||
yield clk.posedge
|
||||
source_pause.next = True
|
||||
yield delay(32)
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
|
||||
yield delay(64)
|
||||
yield clk.posedge
|
||||
sink_pause.next = True
|
||||
yield delay(32)
|
||||
yield clk.posedge
|
||||
sink_pause.next = False
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 4: back-to-back packets")
|
||||
current_test.next = 4
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 5: alternate pause source")
|
||||
current_test.next = 5
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
while input_ip_payload_tvalid or output_eth_payload_tvalid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 6: alternate pause sink")
|
||||
current_test.next = 6
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(32))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(32))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
while input_ip_payload_tvalid or output_eth_payload_tvalid:
|
||||
sink_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
sink_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 7: alternate pause source 2")
|
||||
current_test.next = 7
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(33))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(33))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
while input_ip_payload_tvalid or output_eth_payload_tvalid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
source_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 8: alternate pause sink 2")
|
||||
current_test.next = 8
|
||||
|
||||
test_frame1 = ip_ep.IPFrame()
|
||||
test_frame1.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame1.eth_src_mac = 0x5A5152535455
|
||||
test_frame1.eth_type = 0x0800
|
||||
test_frame1.ip_version = 4
|
||||
test_frame1.ip_ihl = 5
|
||||
test_frame1.ip_length = None
|
||||
test_frame1.ip_identification = 0
|
||||
test_frame1.ip_flags = 2
|
||||
test_frame1.ip_fragment_offset = 0
|
||||
test_frame1.ip_ttl = 64
|
||||
test_frame1.ip_protocol = 0x11
|
||||
test_frame1.ip_header_checksum = None
|
||||
test_frame1.ip_source_ip = 0xc0a80164
|
||||
test_frame1.ip_dest_ip = 0xc0a80165
|
||||
test_frame1.payload = bytearray(range(33))
|
||||
test_frame1.build()
|
||||
test_frame2 = ip_ep.IPFrame()
|
||||
test_frame2.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame2.eth_src_mac = 0x5A5152535455
|
||||
test_frame2.eth_type = 0x0800
|
||||
test_frame2.ip_version = 4
|
||||
test_frame2.ip_ihl = 5
|
||||
test_frame2.ip_length = None
|
||||
test_frame2.ip_identification = 0
|
||||
test_frame2.ip_flags = 2
|
||||
test_frame2.ip_fragment_offset = 0
|
||||
test_frame2.ip_ttl = 64
|
||||
test_frame2.ip_protocol = 0x11
|
||||
test_frame2.ip_header_checksum = None
|
||||
test_frame2.ip_source_ip = 0xc0a80164
|
||||
test_frame2.ip_dest_ip = 0xc0a80165
|
||||
test_frame2.payload = bytearray(range(33))
|
||||
test_frame2.build()
|
||||
source_queue.put(test_frame1)
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
while input_ip_payload_tvalid or output_eth_payload_tvalid:
|
||||
sink_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
sink_pause.next = False
|
||||
yield clk.posedge
|
||||
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame1
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame2
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 9: tuser assert")
|
||||
current_test.next = 9
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.payload.user = 1
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
assert rx_frame.payload.user[-1]
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 10: trailing bytes")
|
||||
current_test.next = 10
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
test_frame2 = ip_ep.IPFrame(test_frame)
|
||||
test_frame2.payload.data += '\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00'
|
||||
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
|
||||
yield clk.posedge
|
||||
print("test 11: trailing bytes with tuser assert")
|
||||
current_test.next = 11
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = None
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02\x03\x04\x05\x06\x07\x08\x09\x0A\x0B\x0C'
|
||||
test_frame.build()
|
||||
test_frame2 = ip_ep.IPFrame(test_frame)
|
||||
test_frame2.payload.data += '\x00\x00\x00\x00\x00\x00\x00\x00\x00\x00'
|
||||
test_frame2.payload.user = 1
|
||||
|
||||
source_queue.put(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
assert check_frame == test_frame
|
||||
assert rx_frame.payload.user[-1]
|
||||
|
||||
yield delay(100)
|
||||
|
||||
yield clk.posedge
|
||||
print("test 13: truncated payload")
|
||||
current_test.next = 13
|
||||
|
||||
test_frame = ip_ep.IPFrame()
|
||||
test_frame.eth_dest_mac = 0xDAD1D2D3D4D5
|
||||
test_frame.eth_src_mac = 0x5A5152535455
|
||||
test_frame.eth_type = 0x0800
|
||||
test_frame.ip_version = 4
|
||||
test_frame.ip_ihl = 5
|
||||
test_frame.ip_length = 32
|
||||
test_frame.ip_identification = 0
|
||||
test_frame.ip_flags = 2
|
||||
test_frame.ip_fragment_offset = 0
|
||||
test_frame.ip_ttl = 64
|
||||
test_frame.ip_protocol = 0x11
|
||||
test_frame.ip_header_checksum = None
|
||||
test_frame.ip_source_ip = 0xc0a80164
|
||||
test_frame.ip_dest_ip = 0xc0a80165
|
||||
test_frame.payload = '\x01\x02'
|
||||
test_frame.build()
|
||||
source_queue.put(test_frame)
|
||||
yield clk.posedge
|
||||
|
||||
yield output_eth_payload_tlast.posedge
|
||||
yield clk.posedge
|
||||
assert error_payload_early_termination
|
||||
yield clk.posedge
|
||||
|
||||
rx_frame = None
|
||||
if not sink_queue.empty():
|
||||
rx_frame = sink_queue.get()
|
||||
|
||||
check_frame = ip_ep.IPFrame()
|
||||
check_frame.parse_eth(rx_frame)
|
||||
|
||||
#assert check_frame == test_frame
|
||||
assert rx_frame.payload.user[-1]
|
||||
|
||||
yield delay(100)
|
||||
|
||||
raise StopSimulation
|
||||
|
||||
return dut, source, sink, clkgen, check
|
||||
|
||||
def test_bench():
|
||||
sim = Simulation(bench())
|
||||
sim.run()
|
||||
|
||||
if __name__ == '__main__':
|
||||
print("Running test...")
|
||||
test_bench()
|
||||
|
155
tb/test_ip_eth_tx.v
Normal file
155
tb/test_ip_eth_tx.v
Normal file
@ -0,0 +1,155 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1 ns / 1 ps
|
||||
|
||||
module test_ip_eth_tx;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg input_ip_hdr_valid = 0;
|
||||
reg [47:0] input_eth_dest_mac = 0;
|
||||
reg [47:0] input_eth_src_mac = 0;
|
||||
reg [15:0] input_eth_type = 0;
|
||||
reg [5:0] input_ip_dscp = 0;
|
||||
reg [1:0] input_ip_ecn = 0;
|
||||
reg [15:0] input_ip_length = 0;
|
||||
reg [15:0] input_ip_identification = 0;
|
||||
reg [2:0] input_ip_flags = 0;
|
||||
reg [12:0] input_ip_fragment_offset = 0;
|
||||
reg [7:0] input_ip_ttl = 0;
|
||||
reg [7:0] input_ip_protocol = 0;
|
||||
reg [31:0] input_ip_source_ip = 0;
|
||||
reg [31:0] input_ip_dest_ip = 0;
|
||||
reg [7:0] input_ip_payload_tdata = 0;
|
||||
reg input_ip_payload_tvalid = 0;
|
||||
reg input_ip_payload_tlast = 0;
|
||||
reg input_ip_payload_tuser = 0;
|
||||
reg output_eth_hdr_ready = 0;
|
||||
reg output_eth_payload_tready = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_ip_hdr_ready;
|
||||
wire input_ip_payload_tready;
|
||||
wire output_eth_hdr_valid;
|
||||
wire [47:0] output_eth_dest_mac;
|
||||
wire [47:0] output_eth_src_mac;
|
||||
wire [15:0] output_eth_type;
|
||||
wire [7:0] output_eth_payload_tdata;
|
||||
wire output_eth_payload_tvalid;
|
||||
wire output_eth_payload_tlast;
|
||||
wire output_eth_payload_tuser;
|
||||
wire busy;
|
||||
wire error_payload_early_termination;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
$from_myhdl(clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_ip_hdr_valid,
|
||||
input_eth_dest_mac,
|
||||
input_eth_src_mac,
|
||||
input_eth_type,
|
||||
input_ip_dscp,
|
||||
input_ip_ecn,
|
||||
input_ip_length,
|
||||
input_ip_identification,
|
||||
input_ip_flags,
|
||||
input_ip_fragment_offset,
|
||||
input_ip_ttl,
|
||||
input_ip_protocol,
|
||||
input_ip_source_ip,
|
||||
input_ip_dest_ip,
|
||||
input_ip_payload_tdata,
|
||||
input_ip_payload_tvalid,
|
||||
input_ip_payload_tlast,
|
||||
input_ip_payload_tuser,
|
||||
output_eth_hdr_ready,
|
||||
output_eth_payload_tready);
|
||||
$to_myhdl(input_ip_hdr_ready,
|
||||
input_ip_payload_tready,
|
||||
output_eth_hdr_valid,
|
||||
output_eth_dest_mac,
|
||||
output_eth_src_mac,
|
||||
output_eth_type,
|
||||
output_eth_payload_tdata,
|
||||
output_eth_payload_tvalid,
|
||||
output_eth_payload_tlast,
|
||||
output_eth_payload_tuser,
|
||||
busy,
|
||||
error_payload_early_termination);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_ip_eth_tx.lxt");
|
||||
$dumpvars(0, test_ip_eth_tx);
|
||||
end
|
||||
|
||||
ip_eth_tx
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// IP frame input
|
||||
.input_ip_hdr_valid(input_ip_hdr_valid),
|
||||
.input_ip_hdr_ready(input_ip_hdr_ready),
|
||||
.input_eth_dest_mac(input_eth_dest_mac),
|
||||
.input_eth_src_mac(input_eth_src_mac),
|
||||
.input_eth_type(input_eth_type),
|
||||
.input_ip_dscp(input_ip_dscp),
|
||||
.input_ip_ecn(input_ip_ecn),
|
||||
.input_ip_length(input_ip_length),
|
||||
.input_ip_identification(input_ip_identification),
|
||||
.input_ip_flags(input_ip_flags),
|
||||
.input_ip_fragment_offset(input_ip_fragment_offset),
|
||||
.input_ip_ttl(input_ip_ttl),
|
||||
.input_ip_protocol(input_ip_protocol),
|
||||
.input_ip_source_ip(input_ip_source_ip),
|
||||
.input_ip_dest_ip(input_ip_dest_ip),
|
||||
.input_ip_payload_tdata(input_ip_payload_tdata),
|
||||
.input_ip_payload_tvalid(input_ip_payload_tvalid),
|
||||
.input_ip_payload_tready(input_ip_payload_tready),
|
||||
.input_ip_payload_tlast(input_ip_payload_tlast),
|
||||
.input_ip_payload_tuser(input_ip_payload_tuser),
|
||||
// Ethernet frame output
|
||||
.output_eth_hdr_valid(output_eth_hdr_valid),
|
||||
.output_eth_hdr_ready(output_eth_hdr_ready),
|
||||
.output_eth_dest_mac(output_eth_dest_mac),
|
||||
.output_eth_src_mac(output_eth_src_mac),
|
||||
.output_eth_type(output_eth_type),
|
||||
.output_eth_payload_tdata(output_eth_payload_tdata),
|
||||
.output_eth_payload_tvalid(output_eth_payload_tvalid),
|
||||
.output_eth_payload_tready(output_eth_payload_tready),
|
||||
.output_eth_payload_tlast(output_eth_payload_tlast),
|
||||
.output_eth_payload_tuser(output_eth_payload_tuser),
|
||||
// Status signals
|
||||
.busy(busy),
|
||||
.error_payload_early_termination(error_payload_early_termination)
|
||||
);
|
||||
|
||||
endmodule
|
Loading…
x
Reference in New Issue
Block a user