From 6c1ea89a66b15156c6172143990c8437f8933863 Mon Sep 17 00:00:00 2001 From: Alex Forencich Date: Thu, 25 Oct 2018 11:52:08 -0700 Subject: [PATCH] Rename ports --- rtl/axis_srl_fifo.v | 100 ++++++++++++++++++------------------ tb/test_axis_srl_fifo.py | 100 ++++++++++++++++++------------------ tb/test_axis_srl_fifo.v | 96 +++++++++++++++++----------------- tb/test_axis_srl_fifo_64.py | 100 ++++++++++++++++++------------------ tb/test_axis_srl_fifo_64.v | 96 +++++++++++++++++----------------- 5 files changed, 246 insertions(+), 246 deletions(-) diff --git a/rtl/axis_srl_fifo.v b/rtl/axis_srl_fifo.v index af965854..3a57c204 100644 --- a/rtl/axis_srl_fifo.v +++ b/rtl/axis_srl_fifo.v @@ -50,26 +50,26 @@ module axis_srl_fifo # /* * AXI input */ - input wire [DATA_WIDTH-1:0] input_axis_tdata, - input wire [KEEP_WIDTH-1:0] input_axis_tkeep, - input wire input_axis_tvalid, - output wire input_axis_tready, - input wire input_axis_tlast, - input wire [ID_WIDTH-1:0] input_axis_tid, - input wire [DEST_WIDTH-1:0] input_axis_tdest, - input wire [USER_WIDTH-1:0] input_axis_tuser, + input wire [DATA_WIDTH-1:0] s_axis_tdata, + input wire [KEEP_WIDTH-1:0] s_axis_tkeep, + input wire s_axis_tvalid, + output wire s_axis_tready, + input wire s_axis_tlast, + input wire [ID_WIDTH-1:0] s_axis_tid, + input wire [DEST_WIDTH-1:0] s_axis_tdest, + input wire [USER_WIDTH-1:0] s_axis_tuser, /* * AXI output */ - output wire [DATA_WIDTH-1:0] output_axis_tdata, - output wire [KEEP_WIDTH-1:0] output_axis_tkeep, - output wire output_axis_tvalid, - input wire output_axis_tready, - output wire output_axis_tlast, - output wire [ID_WIDTH-1:0] output_axis_tid, - output wire [DEST_WIDTH-1:0] output_axis_tdest, - output wire [USER_WIDTH-1:0] output_axis_tuser, + output wire [DATA_WIDTH-1:0] m_axis_tdata, + output wire [KEEP_WIDTH-1:0] m_axis_tkeep, + output wire m_axis_tvalid, + input wire m_axis_tready, + output wire m_axis_tlast, + output wire [ID_WIDTH-1:0] m_axis_tid, + output wire [DEST_WIDTH-1:0] m_axis_tdest, + output wire [USER_WIDTH-1:0] m_axis_tuser, /* * Status @@ -86,32 +86,32 @@ localparam WIDTH = USER_OFFSET + (USER_ENABLE ? USER_WIDTH : 0); reg [WIDTH-1:0] data_reg[DEPTH-1:0]; reg [$clog2(DEPTH+1)-1:0] ptr_reg = 0; -reg full_reg = 0, full_next; -reg empty_reg = 1, empty_next; +reg full_reg = 1'b0, full_next; +reg empty_reg = 1'b1, empty_next; -wire [WIDTH-1:0] input_axis; +wire [WIDTH-1:0] s_axis; -wire [WIDTH-1:0] output_axis = data_reg[ptr_reg-1]; +wire [WIDTH-1:0] m_axis = data_reg[ptr_reg-1]; -assign input_axis_tready = ~full_reg; +assign s_axis_tready = !full_reg; generate - assign input_axis[DATA_WIDTH-1:0] = input_axis_tdata; - if (KEEP_ENABLE) assign input_axis[KEEP_OFFSET +: KEEP_WIDTH] = input_axis_tkeep; - if (LAST_ENABLE) assign input_axis[LAST_OFFSET] = input_axis_tlast; - if (ID_ENABLE) assign input_axis[ID_OFFSET +: ID_WIDTH] = input_axis_tid; - if (DEST_ENABLE) assign input_axis[DEST_OFFSET +: DEST_WIDTH] = input_axis_tdest; - if (USER_ENABLE) assign input_axis[USER_OFFSET +: USER_WIDTH] = input_axis_tuser; + assign s_axis[DATA_WIDTH-1:0] = s_axis_tdata; + if (KEEP_ENABLE) assign s_axis[KEEP_OFFSET +: KEEP_WIDTH] = s_axis_tkeep; + if (LAST_ENABLE) assign s_axis[LAST_OFFSET] = s_axis_tlast; + if (ID_ENABLE) assign s_axis[ID_OFFSET +: ID_WIDTH] = s_axis_tid; + if (DEST_ENABLE) assign s_axis[DEST_OFFSET +: DEST_WIDTH] = s_axis_tdest; + if (USER_ENABLE) assign s_axis[USER_OFFSET +: USER_WIDTH] = s_axis_tuser; endgenerate -assign output_axis_tvalid = ~empty_reg; +assign m_axis_tvalid = !empty_reg; -assign output_axis_tdata = output_axis[DATA_WIDTH-1:0]; -assign output_axis_tkeep = KEEP_ENABLE ? output_axis[KEEP_OFFSET +: KEEP_WIDTH] : {KEEP_WIDTH{1'b1}}; -assign output_axis_tlast = LAST_ENABLE ? output_axis[LAST_OFFSET] : 1'b1; -assign output_axis_tid = ID_ENABLE ? output_axis[ID_OFFSET +: ID_WIDTH] : {ID_WIDTH{1'b0}}; -assign output_axis_tdest = DEST_ENABLE ? output_axis[DEST_OFFSET +: DEST_WIDTH] : {DEST_WIDTH{1'b0}}; -assign output_axis_tuser = USER_ENABLE ? output_axis[USER_OFFSET +: USER_WIDTH] : {USER_WIDTH{1'b0}}; +assign m_axis_tdata = m_axis[DATA_WIDTH-1:0]; +assign m_axis_tkeep = KEEP_ENABLE ? m_axis[KEEP_OFFSET +: KEEP_WIDTH] : {KEEP_WIDTH{1'b1}}; +assign m_axis_tlast = LAST_ENABLE ? m_axis[LAST_OFFSET] : 1'b1; +assign m_axis_tid = ID_ENABLE ? m_axis[ID_OFFSET +: ID_WIDTH] : {ID_WIDTH{1'b0}}; +assign m_axis_tdest = DEST_ENABLE ? m_axis[DEST_OFFSET +: DEST_WIDTH] : {DEST_WIDTH{1'b0}}; +assign m_axis_tuser = USER_ENABLE ? m_axis[USER_OFFSET +: USER_WIDTH] : {USER_WIDTH{1'b0}}; assign count = ptr_reg; @@ -133,33 +133,33 @@ initial begin end always @* begin - shift = 0; - inc = 0; - dec = 0; + shift = 1'b0; + inc = 1'b0; + dec = 1'b0; full_next = full_reg; empty_next = empty_reg; - if (output_axis_tready & input_axis_tvalid & ~full_reg) begin - shift = 1; + if (m_axis_tready && s_axis_tvalid && s_axis_tready) begin + shift = 1'b1; inc = ptr_empty; - empty_next = 0; - end else if (output_axis_tready & output_axis_tvalid) begin - dec = 1; - full_next = 0; + empty_next = 1'b0; + end else if (m_axis_tready && m_axis_tvalid) begin + dec = 1'b1; + full_next = 1'b0; empty_next = ptr_empty1; - end else if (input_axis_tvalid & input_axis_tready) begin - shift = 1; - inc = 1; + end else if (s_axis_tvalid && s_axis_tready) begin + shift = 1'b1; + inc = 1'b1; full_next = ptr_full1; - empty_next = 0; + empty_next = 1'b0; end end always @(posedge clk) begin if (rst) begin ptr_reg <= 0; - full_reg <= 0; - empty_reg <= 1; + full_reg <= 1'b0; + empty_reg <= 1'b1; end else begin if (inc) begin ptr_reg <= ptr_reg + 1; @@ -174,7 +174,7 @@ always @(posedge clk) begin end if (shift) begin - data_reg[0] <= input_axis; + data_reg[0] <= s_axis; for (i = 0; i < DEPTH-1; i = i + 1) begin data_reg[i+1] <= data_reg[i]; end diff --git a/tb/test_axis_srl_fifo.py b/tb/test_axis_srl_fifo.py index e9d5a786..4178d8e8 100755 --- a/tb/test_axis_srl_fifo.py +++ b/tb/test_axis_srl_fifo.py @@ -60,24 +60,24 @@ def bench(): rst = Signal(bool(0)) current_test = Signal(intbv(0)[8:]) - input_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) - input_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) - input_axis_tvalid = Signal(bool(0)) - input_axis_tlast = Signal(bool(0)) - input_axis_tid = Signal(intbv(0)[ID_WIDTH:]) - input_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) - input_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) - output_axis_tready = Signal(bool(0)) + s_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) + s_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) + s_axis_tvalid = Signal(bool(0)) + s_axis_tlast = Signal(bool(0)) + s_axis_tid = Signal(intbv(0)[ID_WIDTH:]) + s_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) + s_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) + m_axis_tready = Signal(bool(0)) # Outputs - input_axis_tready = Signal(bool(0)) - output_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) - output_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) - output_axis_tvalid = Signal(bool(0)) - output_axis_tlast = Signal(bool(0)) - output_axis_tid = Signal(intbv(0)[ID_WIDTH:]) - output_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) - output_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) + s_axis_tready = Signal(bool(1)) + m_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) + m_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) + m_axis_tvalid = Signal(bool(0)) + m_axis_tlast = Signal(bool(0)) + m_axis_tid = Signal(intbv(0)[ID_WIDTH:]) + m_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) + m_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) count = Signal(intbv(0)[3:]) # sources and sinks @@ -89,14 +89,14 @@ def bench(): source_logic = source.create_logic( clk, rst, - tdata=input_axis_tdata, - tkeep=input_axis_tkeep, - tvalid=input_axis_tvalid, - tready=input_axis_tready, - tlast=input_axis_tlast, - tid=input_axis_tid, - tdest=input_axis_tdest, - tuser=input_axis_tuser, + tdata=s_axis_tdata, + tkeep=s_axis_tkeep, + tvalid=s_axis_tvalid, + tready=s_axis_tready, + tlast=s_axis_tlast, + tid=s_axis_tid, + tdest=s_axis_tdest, + tuser=s_axis_tuser, pause=source_pause, name='source' ) @@ -106,14 +106,14 @@ def bench(): sink_logic = sink.create_logic( clk, rst, - tdata=output_axis_tdata, - tkeep=output_axis_tkeep, - tvalid=output_axis_tvalid, - tready=output_axis_tready, - tlast=output_axis_tlast, - tid=output_axis_tid, - tdest=output_axis_tdest, - tuser=output_axis_tuser, + tdata=m_axis_tdata, + tkeep=m_axis_tkeep, + tvalid=m_axis_tvalid, + tready=m_axis_tready, + tlast=m_axis_tlast, + tid=m_axis_tid, + tdest=m_axis_tdest, + tuser=m_axis_tuser, pause=sink_pause, name='sink' ) @@ -128,23 +128,23 @@ def bench(): rst=rst, current_test=current_test, - input_axis_tdata=input_axis_tdata, - input_axis_tkeep=input_axis_tkeep, - input_axis_tvalid=input_axis_tvalid, - input_axis_tready=input_axis_tready, - input_axis_tlast=input_axis_tlast, - input_axis_tid=input_axis_tid, - input_axis_tdest=input_axis_tdest, - input_axis_tuser=input_axis_tuser, + s_axis_tdata=s_axis_tdata, + s_axis_tkeep=s_axis_tkeep, + s_axis_tvalid=s_axis_tvalid, + s_axis_tready=s_axis_tready, + s_axis_tlast=s_axis_tlast, + s_axis_tid=s_axis_tid, + s_axis_tdest=s_axis_tdest, + s_axis_tuser=s_axis_tuser, - output_axis_tdata=output_axis_tdata, - output_axis_tkeep=output_axis_tkeep, - output_axis_tvalid=output_axis_tvalid, - output_axis_tready=output_axis_tready, - output_axis_tlast=output_axis_tlast, - output_axis_tid=output_axis_tid, - output_axis_tdest=output_axis_tdest, - output_axis_tuser=output_axis_tuser, + m_axis_tdata=m_axis_tdata, + m_axis_tkeep=m_axis_tkeep, + m_axis_tvalid=m_axis_tvalid, + m_axis_tready=m_axis_tready, + m_axis_tlast=m_axis_tlast, + m_axis_tid=m_axis_tid, + m_axis_tdest=m_axis_tdest, + m_axis_tuser=m_axis_tuser, count=count ) @@ -305,7 +305,7 @@ def bench(): source.send(test_frame2) yield clk.posedge - while input_axis_tvalid or output_axis_tvalid: + while s_axis_tvalid or m_axis_tvalid: source_pause.next = True yield clk.posedge yield clk.posedge @@ -350,7 +350,7 @@ def bench(): source.send(test_frame2) yield clk.posedge - while input_axis_tvalid or output_axis_tvalid: + while s_axis_tvalid or m_axis_tvalid: sink_pause.next = True yield clk.posedge yield clk.posedge diff --git a/tb/test_axis_srl_fifo.v b/tb/test_axis_srl_fifo.v index b556386c..7b76f852 100644 --- a/tb/test_axis_srl_fifo.v +++ b/tb/test_axis_srl_fifo.v @@ -49,24 +49,24 @@ reg clk = 0; reg rst = 0; reg [7:0] current_test = 0; -reg [DATA_WIDTH-1:0] input_axis_tdata = 0; -reg [KEEP_WIDTH-1:0] input_axis_tkeep = 0; -reg input_axis_tvalid = 0; -reg input_axis_tlast = 0; -reg [ID_WIDTH-1:0] input_axis_tid = 0; -reg [DEST_WIDTH-1:0] input_axis_tdest = 0; -reg [USER_WIDTH-1:0] input_axis_tuser = 0; -reg output_axis_tready = 0; +reg [DATA_WIDTH-1:0] s_axis_tdata = 0; +reg [KEEP_WIDTH-1:0] s_axis_tkeep = 0; +reg s_axis_tvalid = 0; +reg s_axis_tlast = 0; +reg [ID_WIDTH-1:0] s_axis_tid = 0; +reg [DEST_WIDTH-1:0] s_axis_tdest = 0; +reg [USER_WIDTH-1:0] s_axis_tuser = 0; +reg m_axis_tready = 0; // Outputs -wire input_axis_tready; -wire [DATA_WIDTH-1:0] output_axis_tdata; -wire [KEEP_WIDTH-1:0] output_axis_tkeep; -wire output_axis_tvalid; -wire output_axis_tlast; -wire [ID_WIDTH-1:0] output_axis_tid; -wire [DEST_WIDTH-1:0] output_axis_tdest; -wire [USER_WIDTH-1:0] output_axis_tuser; +wire s_axis_tready; +wire [DATA_WIDTH-1:0] m_axis_tdata; +wire [KEEP_WIDTH-1:0] m_axis_tkeep; +wire m_axis_tvalid; +wire m_axis_tlast; +wire [ID_WIDTH-1:0] m_axis_tid; +wire [DEST_WIDTH-1:0] m_axis_tdest; +wire [USER_WIDTH-1:0] m_axis_tuser; wire [2:0] count; @@ -76,24 +76,24 @@ initial begin clk, rst, current_test, - input_axis_tdata, - input_axis_tkeep, - input_axis_tvalid, - input_axis_tlast, - input_axis_tid, - input_axis_tdest, - input_axis_tuser, - output_axis_tready + s_axis_tdata, + s_axis_tkeep, + s_axis_tvalid, + s_axis_tlast, + s_axis_tid, + s_axis_tdest, + s_axis_tuser, + m_axis_tready ); $to_myhdl( - input_axis_tready, - output_axis_tdata, - output_axis_tkeep, - output_axis_tvalid, - output_axis_tlast, - output_axis_tid, - output_axis_tdest, - output_axis_tuser, + s_axis_tready, + m_axis_tdata, + m_axis_tkeep, + m_axis_tvalid, + m_axis_tlast, + m_axis_tid, + m_axis_tdest, + m_axis_tuser, count ); @@ -119,23 +119,23 @@ UUT ( .clk(clk), .rst(rst), // AXI input - .input_axis_tdata(input_axis_tdata), - .input_axis_tkeep(input_axis_tkeep), - .input_axis_tvalid(input_axis_tvalid), - .input_axis_tready(input_axis_tready), - .input_axis_tlast(input_axis_tlast), - .input_axis_tid(input_axis_tid), - .input_axis_tdest(input_axis_tdest), - .input_axis_tuser(input_axis_tuser), + .s_axis_tdata(s_axis_tdata), + .s_axis_tkeep(s_axis_tkeep), + .s_axis_tvalid(s_axis_tvalid), + .s_axis_tready(s_axis_tready), + .s_axis_tlast(s_axis_tlast), + .s_axis_tid(s_axis_tid), + .s_axis_tdest(s_axis_tdest), + .s_axis_tuser(s_axis_tuser), // AXI output - .output_axis_tdata(output_axis_tdata), - .output_axis_tkeep(output_axis_tkeep), - .output_axis_tvalid(output_axis_tvalid), - .output_axis_tready(output_axis_tready), - .output_axis_tlast(output_axis_tlast), - .output_axis_tid(output_axis_tid), - .output_axis_tdest(output_axis_tdest), - .output_axis_tuser(output_axis_tuser), + .m_axis_tdata(m_axis_tdata), + .m_axis_tkeep(m_axis_tkeep), + .m_axis_tvalid(m_axis_tvalid), + .m_axis_tready(m_axis_tready), + .m_axis_tlast(m_axis_tlast), + .m_axis_tid(m_axis_tid), + .m_axis_tdest(m_axis_tdest), + .m_axis_tuser(m_axis_tuser), // Status .count(count) ); diff --git a/tb/test_axis_srl_fifo_64.py b/tb/test_axis_srl_fifo_64.py index 18d0929b..f788c210 100755 --- a/tb/test_axis_srl_fifo_64.py +++ b/tb/test_axis_srl_fifo_64.py @@ -60,24 +60,24 @@ def bench(): rst = Signal(bool(0)) current_test = Signal(intbv(0)[8:]) - input_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) - input_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) - input_axis_tvalid = Signal(bool(0)) - input_axis_tlast = Signal(bool(0)) - input_axis_tid = Signal(intbv(0)[ID_WIDTH:]) - input_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) - input_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) - output_axis_tready = Signal(bool(0)) + s_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) + s_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) + s_axis_tvalid = Signal(bool(0)) + s_axis_tlast = Signal(bool(0)) + s_axis_tid = Signal(intbv(0)[ID_WIDTH:]) + s_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) + s_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) + m_axis_tready = Signal(bool(0)) # Outputs - input_axis_tready = Signal(bool(0)) - output_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) - output_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) - output_axis_tvalid = Signal(bool(0)) - output_axis_tlast = Signal(bool(0)) - output_axis_tid = Signal(intbv(0)[ID_WIDTH:]) - output_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) - output_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) + s_axis_tready = Signal(bool(1)) + m_axis_tdata = Signal(intbv(0)[DATA_WIDTH:]) + m_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:]) + m_axis_tvalid = Signal(bool(0)) + m_axis_tlast = Signal(bool(0)) + m_axis_tid = Signal(intbv(0)[ID_WIDTH:]) + m_axis_tdest = Signal(intbv(0)[DEST_WIDTH:]) + m_axis_tuser = Signal(intbv(0)[USER_WIDTH:]) count = Signal(intbv(0)[3:]) # sources and sinks @@ -89,14 +89,14 @@ def bench(): source_logic = source.create_logic( clk, rst, - tdata=input_axis_tdata, - tkeep=input_axis_tkeep, - tvalid=input_axis_tvalid, - tready=input_axis_tready, - tlast=input_axis_tlast, - tid=input_axis_tid, - tdest=input_axis_tdest, - tuser=input_axis_tuser, + tdata=s_axis_tdata, + tkeep=s_axis_tkeep, + tvalid=s_axis_tvalid, + tready=s_axis_tready, + tlast=s_axis_tlast, + tid=s_axis_tid, + tdest=s_axis_tdest, + tuser=s_axis_tuser, pause=source_pause, name='source' ) @@ -106,14 +106,14 @@ def bench(): sink_logic = sink.create_logic( clk, rst, - tdata=output_axis_tdata, - tkeep=output_axis_tkeep, - tvalid=output_axis_tvalid, - tready=output_axis_tready, - tlast=output_axis_tlast, - tid=output_axis_tid, - tdest=output_axis_tdest, - tuser=output_axis_tuser, + tdata=m_axis_tdata, + tkeep=m_axis_tkeep, + tvalid=m_axis_tvalid, + tready=m_axis_tready, + tlast=m_axis_tlast, + tid=m_axis_tid, + tdest=m_axis_tdest, + tuser=m_axis_tuser, pause=sink_pause, name='sink' ) @@ -128,23 +128,23 @@ def bench(): rst=rst, current_test=current_test, - input_axis_tdata=input_axis_tdata, - input_axis_tkeep=input_axis_tkeep, - input_axis_tvalid=input_axis_tvalid, - input_axis_tready=input_axis_tready, - input_axis_tlast=input_axis_tlast, - input_axis_tid=input_axis_tid, - input_axis_tdest=input_axis_tdest, - input_axis_tuser=input_axis_tuser, + s_axis_tdata=s_axis_tdata, + s_axis_tkeep=s_axis_tkeep, + s_axis_tvalid=s_axis_tvalid, + s_axis_tready=s_axis_tready, + s_axis_tlast=s_axis_tlast, + s_axis_tid=s_axis_tid, + s_axis_tdest=s_axis_tdest, + s_axis_tuser=s_axis_tuser, - output_axis_tdata=output_axis_tdata, - output_axis_tkeep=output_axis_tkeep, - output_axis_tvalid=output_axis_tvalid, - output_axis_tready=output_axis_tready, - output_axis_tlast=output_axis_tlast, - output_axis_tid=output_axis_tid, - output_axis_tdest=output_axis_tdest, - output_axis_tuser=output_axis_tuser, + m_axis_tdata=m_axis_tdata, + m_axis_tkeep=m_axis_tkeep, + m_axis_tvalid=m_axis_tvalid, + m_axis_tready=m_axis_tready, + m_axis_tlast=m_axis_tlast, + m_axis_tid=m_axis_tid, + m_axis_tdest=m_axis_tdest, + m_axis_tuser=m_axis_tuser, count=count ) @@ -305,7 +305,7 @@ def bench(): source.send(test_frame2) yield clk.posedge - while input_axis_tvalid or output_axis_tvalid: + while s_axis_tvalid or m_axis_tvalid: source_pause.next = True yield clk.posedge yield clk.posedge @@ -350,7 +350,7 @@ def bench(): source.send(test_frame2) yield clk.posedge - while input_axis_tvalid or output_axis_tvalid: + while s_axis_tvalid or m_axis_tvalid: sink_pause.next = True yield clk.posedge yield clk.posedge diff --git a/tb/test_axis_srl_fifo_64.v b/tb/test_axis_srl_fifo_64.v index c11280df..33bf0fb7 100644 --- a/tb/test_axis_srl_fifo_64.v +++ b/tb/test_axis_srl_fifo_64.v @@ -49,24 +49,24 @@ reg clk = 0; reg rst = 0; reg [7:0] current_test = 0; -reg [DATA_WIDTH-1:0] input_axis_tdata = 0; -reg [KEEP_WIDTH-1:0] input_axis_tkeep = 0; -reg input_axis_tvalid = 0; -reg input_axis_tlast = 0; -reg [ID_WIDTH-1:0] input_axis_tid = 0; -reg [DEST_WIDTH-1:0] input_axis_tdest = 0; -reg [USER_WIDTH-1:0] input_axis_tuser = 0; -reg output_axis_tready = 0; +reg [DATA_WIDTH-1:0] s_axis_tdata = 0; +reg [KEEP_WIDTH-1:0] s_axis_tkeep = 0; +reg s_axis_tvalid = 0; +reg s_axis_tlast = 0; +reg [ID_WIDTH-1:0] s_axis_tid = 0; +reg [DEST_WIDTH-1:0] s_axis_tdest = 0; +reg [USER_WIDTH-1:0] s_axis_tuser = 0; +reg m_axis_tready = 0; // Outputs -wire input_axis_tready; -wire [DATA_WIDTH-1:0] output_axis_tdata; -wire [KEEP_WIDTH-1:0] output_axis_tkeep; -wire output_axis_tvalid; -wire output_axis_tlast; -wire [ID_WIDTH-1:0] output_axis_tid; -wire [DEST_WIDTH-1:0] output_axis_tdest; -wire [USER_WIDTH-1:0] output_axis_tuser; +wire s_axis_tready; +wire [DATA_WIDTH-1:0] m_axis_tdata; +wire [KEEP_WIDTH-1:0] m_axis_tkeep; +wire m_axis_tvalid; +wire m_axis_tlast; +wire [ID_WIDTH-1:0] m_axis_tid; +wire [DEST_WIDTH-1:0] m_axis_tdest; +wire [USER_WIDTH-1:0] m_axis_tuser; wire [2:0] count; @@ -76,24 +76,24 @@ initial begin clk, rst, current_test, - input_axis_tdata, - input_axis_tkeep, - input_axis_tvalid, - input_axis_tlast, - input_axis_tid, - input_axis_tdest, - input_axis_tuser, - output_axis_tready + s_axis_tdata, + s_axis_tkeep, + s_axis_tvalid, + s_axis_tlast, + s_axis_tid, + s_axis_tdest, + s_axis_tuser, + m_axis_tready ); $to_myhdl( - input_axis_tready, - output_axis_tdata, - output_axis_tkeep, - output_axis_tvalid, - output_axis_tlast, - output_axis_tid, - output_axis_tdest, - output_axis_tuser, + s_axis_tready, + m_axis_tdata, + m_axis_tkeep, + m_axis_tvalid, + m_axis_tlast, + m_axis_tid, + m_axis_tdest, + m_axis_tuser, count ); @@ -119,23 +119,23 @@ UUT ( .clk(clk), .rst(rst), // AXI input - .input_axis_tdata(input_axis_tdata), - .input_axis_tkeep(input_axis_tkeep), - .input_axis_tvalid(input_axis_tvalid), - .input_axis_tready(input_axis_tready), - .input_axis_tlast(input_axis_tlast), - .input_axis_tid(input_axis_tid), - .input_axis_tdest(input_axis_tdest), - .input_axis_tuser(input_axis_tuser), + .s_axis_tdata(s_axis_tdata), + .s_axis_tkeep(s_axis_tkeep), + .s_axis_tvalid(s_axis_tvalid), + .s_axis_tready(s_axis_tready), + .s_axis_tlast(s_axis_tlast), + .s_axis_tid(s_axis_tid), + .s_axis_tdest(s_axis_tdest), + .s_axis_tuser(s_axis_tuser), // AXI output - .output_axis_tdata(output_axis_tdata), - .output_axis_tkeep(output_axis_tkeep), - .output_axis_tvalid(output_axis_tvalid), - .output_axis_tready(output_axis_tready), - .output_axis_tlast(output_axis_tlast), - .output_axis_tid(output_axis_tid), - .output_axis_tdest(output_axis_tdest), - .output_axis_tuser(output_axis_tuser), + .m_axis_tdata(m_axis_tdata), + .m_axis_tkeep(m_axis_tkeep), + .m_axis_tvalid(m_axis_tvalid), + .m_axis_tready(m_axis_tready), + .m_axis_tlast(m_axis_tlast), + .m_axis_tid(m_axis_tid), + .m_axis_tdest(m_axis_tdest), + .m_axis_tuser(m_axis_tuser), // Status .count(count) );