mirror of
https://github.com/alexforencich/verilog-ethernet.git
synced 2025-01-14 06:43:18 +08:00
merged changes in axis
This commit is contained in:
commit
6df648ef54
@ -165,24 +165,39 @@ reg [ADDR_WIDTH:0] rd_ptr_gray_reg = {ADDR_WIDTH+1{1'b0}};
|
||||
reg [ADDR_WIDTH:0] wr_ptr_temp;
|
||||
reg [ADDR_WIDTH:0] rd_ptr_temp;
|
||||
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg [ADDR_WIDTH:0] wr_ptr_gray_sync1_reg = {ADDR_WIDTH+1{1'b0}};
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg [ADDR_WIDTH:0] wr_ptr_gray_sync2_reg = {ADDR_WIDTH+1{1'b0}};
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg [ADDR_WIDTH:0] rd_ptr_gray_sync1_reg = {ADDR_WIDTH+1{1'b0}};
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg [ADDR_WIDTH:0] rd_ptr_gray_sync2_reg = {ADDR_WIDTH+1{1'b0}};
|
||||
|
||||
reg wr_ptr_update_valid_reg = 1'b0;
|
||||
reg wr_ptr_update_reg = 1'b0;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg wr_ptr_update_sync1_reg = 1'b0;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg wr_ptr_update_sync2_reg = 1'b0;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg wr_ptr_update_sync3_reg = 1'b0;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg wr_ptr_update_ack_sync1_reg = 1'b0;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg wr_ptr_update_ack_sync2_reg = 1'b0;
|
||||
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg s_rst_sync1_reg = 1'b1;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg s_rst_sync2_reg = 1'b1;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg s_rst_sync3_reg = 1'b1;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg m_rst_sync1_reg = 1'b1;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg m_rst_sync2_reg = 1'b1;
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg m_rst_sync3_reg = 1'b1;
|
||||
|
||||
reg [WIDTH-1:0] mem[(2**ADDR_WIDTH)-1:0];
|
||||
@ -191,7 +206,9 @@ reg mem_read_data_valid_reg = 1'b0;
|
||||
|
||||
wire [WIDTH-1:0] s_axis;
|
||||
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg [WIDTH-1:0] m_axis_pipe_reg[PIPELINE_OUTPUT-1:0];
|
||||
(* SHREG_EXTRACT = "NO" *)
|
||||
reg [PIPELINE_OUTPUT-1:0] m_axis_tvalid_pipe_reg = 1'b0;
|
||||
|
||||
// full when first TWO MSBs do NOT match, but rest matches
|
||||
|
@ -59,7 +59,7 @@ foreach fifo_inst [get_cells -hier -filter {(ORIG_REF_NAME == axis_async_fifo ||
|
||||
set_bus_skew -from [get_cells -quiet "$fifo_inst/wr_ptr_reg_reg[*] $fifo_inst/wr_ptr_gray_reg_reg[*] $fifo_inst/wr_ptr_sync_gray_reg_reg[*]"] -to [get_cells $fifo_inst/wr_ptr_gray_sync1_reg_reg[*]] $read_clk_period
|
||||
|
||||
# output register (needed for distributed RAM sync write/async read)
|
||||
set output_reg_ffs [get_cells -quiet "$fifo_inst/mem_read_data_reg_reg[*]"]
|
||||
set output_reg_ffs [get_cells -quiet "$fifo_inst/m_axis_pipe_reg_reg[0][*]"]
|
||||
|
||||
if {[llength $output_reg_ffs]} {
|
||||
set_false_path -from $write_clk -to $output_reg_ffs
|
||||
|
Loading…
x
Reference in New Issue
Block a user