mirror of
https://github.com/alexforencich/verilog-ethernet.git
synced 2025-01-14 06:43:18 +08:00
Rename ports
This commit is contained in:
parent
6c1ea89a66
commit
84a758f100
@ -43,32 +43,32 @@ module axis_srl_register #
|
||||
parameter USER_WIDTH = 1
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI input
|
||||
*/
|
||||
input wire [DATA_WIDTH-1:0] input_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_axis_tkeep,
|
||||
input wire input_axis_tvalid,
|
||||
output wire input_axis_tready,
|
||||
input wire input_axis_tlast,
|
||||
input wire [ID_WIDTH-1:0] input_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] input_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] input_axis_tuser,
|
||||
input wire [DATA_WIDTH-1:0] s_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] s_axis_tkeep,
|
||||
input wire s_axis_tvalid,
|
||||
output wire s_axis_tready,
|
||||
input wire s_axis_tlast,
|
||||
input wire [ID_WIDTH-1:0] s_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] s_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] s_axis_tuser,
|
||||
|
||||
/*
|
||||
* AXI output
|
||||
*/
|
||||
output wire [DATA_WIDTH-1:0] output_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_axis_tkeep,
|
||||
output wire output_axis_tvalid,
|
||||
input wire output_axis_tready,
|
||||
output wire output_axis_tlast,
|
||||
output wire [ID_WIDTH-1:0] output_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] output_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] output_axis_tuser
|
||||
output wire [DATA_WIDTH-1:0] m_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] m_axis_tkeep,
|
||||
output wire m_axis_tvalid,
|
||||
input wire m_axis_tready,
|
||||
output wire m_axis_tlast,
|
||||
output wire [ID_WIDTH-1:0] m_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] m_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] m_axis_tuser
|
||||
);
|
||||
|
||||
localparam KEEP_OFFSET = DATA_WIDTH;
|
||||
@ -83,29 +83,29 @@ reg valid_reg[1:0];
|
||||
reg ptr_reg = 0;
|
||||
reg full_reg = 0;
|
||||
|
||||
wire [WIDTH-1:0] input_axis;
|
||||
wire [WIDTH-1:0] s_axis;
|
||||
|
||||
wire [WIDTH-1:0] output_axis = data_reg[ptr_reg];
|
||||
wire [WIDTH-1:0] m_axis = data_reg[ptr_reg];
|
||||
|
||||
assign input_axis_tready = ~full_reg;
|
||||
assign s_axis_tready = !full_reg;
|
||||
|
||||
generate
|
||||
assign input_axis[DATA_WIDTH-1:0] = input_axis_tdata;
|
||||
if (KEEP_ENABLE) assign input_axis[KEEP_OFFSET +: KEEP_WIDTH] = input_axis_tkeep;
|
||||
if (LAST_ENABLE) assign input_axis[LAST_OFFSET] = input_axis_tlast;
|
||||
if (ID_ENABLE) assign input_axis[ID_OFFSET +: ID_WIDTH] = input_axis_tid;
|
||||
if (DEST_ENABLE) assign input_axis[DEST_OFFSET +: DEST_WIDTH] = input_axis_tdest;
|
||||
if (USER_ENABLE) assign input_axis[USER_OFFSET +: USER_WIDTH] = input_axis_tuser;
|
||||
assign s_axis[DATA_WIDTH-1:0] = s_axis_tdata;
|
||||
if (KEEP_ENABLE) assign s_axis[KEEP_OFFSET +: KEEP_WIDTH] = s_axis_tkeep;
|
||||
if (LAST_ENABLE) assign s_axis[LAST_OFFSET] = s_axis_tlast;
|
||||
if (ID_ENABLE) assign s_axis[ID_OFFSET +: ID_WIDTH] = s_axis_tid;
|
||||
if (DEST_ENABLE) assign s_axis[DEST_OFFSET +: DEST_WIDTH] = s_axis_tdest;
|
||||
if (USER_ENABLE) assign s_axis[USER_OFFSET +: USER_WIDTH] = s_axis_tuser;
|
||||
endgenerate
|
||||
|
||||
assign output_axis_tvalid = valid_reg[ptr_reg];
|
||||
assign m_axis_tvalid = valid_reg[ptr_reg];
|
||||
|
||||
assign output_axis_tdata = output_axis[DATA_WIDTH-1:0];
|
||||
assign output_axis_tkeep = KEEP_ENABLE ? output_axis[KEEP_OFFSET +: KEEP_WIDTH] : {KEEP_WIDTH{1'b1}};
|
||||
assign output_axis_tlast = LAST_ENABLE ? output_axis[LAST_OFFSET] : 1'b1;
|
||||
assign output_axis_tid = ID_ENABLE ? output_axis[ID_OFFSET +: ID_WIDTH] : {ID_WIDTH{1'b0}};
|
||||
assign output_axis_tdest = DEST_ENABLE ? output_axis[DEST_OFFSET +: DEST_WIDTH] : {DEST_WIDTH{1'b0}};
|
||||
assign output_axis_tuser = USER_ENABLE ? output_axis[USER_OFFSET +: USER_WIDTH] : {USER_WIDTH{1'b0}};
|
||||
assign m_axis_tdata = m_axis[DATA_WIDTH-1:0];
|
||||
assign m_axis_tkeep = KEEP_ENABLE ? m_axis[KEEP_OFFSET +: KEEP_WIDTH] : {KEEP_WIDTH{1'b1}};
|
||||
assign m_axis_tlast = LAST_ENABLE ? m_axis[LAST_OFFSET] : 1'b1;
|
||||
assign m_axis_tid = ID_ENABLE ? m_axis[ID_OFFSET +: ID_WIDTH] : {ID_WIDTH{1'b0}};
|
||||
assign m_axis_tdest = DEST_ENABLE ? m_axis[DEST_OFFSET +: DEST_WIDTH] : {DEST_WIDTH{1'b0}};
|
||||
assign m_axis_tuser = USER_ENABLE ? m_axis[USER_OFFSET +: USER_WIDTH] : {USER_WIDTH{1'b0}};
|
||||
|
||||
integer i;
|
||||
|
||||
@ -122,12 +122,12 @@ always @(posedge clk) begin
|
||||
full_reg <= 0;
|
||||
end else begin
|
||||
// transfer empty to full
|
||||
full_reg <= ~output_axis_tready & output_axis_tvalid;
|
||||
full_reg <= !m_axis_tready && m_axis_tvalid;
|
||||
|
||||
// transfer in if not full
|
||||
if (input_axis_tready) begin
|
||||
data_reg[0] <= input_axis;
|
||||
valid_reg[0] <= input_axis_tvalid;
|
||||
if (s_axis_tready) begin
|
||||
data_reg[0] <= s_axis;
|
||||
valid_reg[0] <= s_axis_tvalid;
|
||||
for (i = 0; i < 1; i = i + 1) begin
|
||||
data_reg[i+1] <= data_reg[i];
|
||||
valid_reg[i+1] <= valid_reg[i];
|
||||
@ -135,7 +135,7 @@ always @(posedge clk) begin
|
||||
ptr_reg <= valid_reg[0];
|
||||
end
|
||||
|
||||
if (output_axis_tready) begin
|
||||
if (m_axis_tready) begin
|
||||
ptr_reg <= 0;
|
||||
end
|
||||
end
|
||||
|
@ -59,24 +59,24 @@ def bench():
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_axis_tvalid = Signal(bool(0))
|
||||
input_axis_tlast = Signal(bool(0))
|
||||
input_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_axis_tready = Signal(bool(0))
|
||||
s_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
s_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
s_axis_tvalid = Signal(bool(0))
|
||||
s_axis_tlast = Signal(bool(0))
|
||||
s_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
s_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
s_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
m_axis_tready = Signal(bool(0))
|
||||
|
||||
# Outputs
|
||||
input_axis_tready = Signal(bool(0))
|
||||
output_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_axis_tvalid = Signal(bool(0))
|
||||
output_axis_tlast = Signal(bool(0))
|
||||
output_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
s_axis_tready = Signal(bool(1))
|
||||
m_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
m_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
m_axis_tvalid = Signal(bool(0))
|
||||
m_axis_tlast = Signal(bool(0))
|
||||
m_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
m_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
m_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
|
||||
# sources and sinks
|
||||
source_pause = Signal(bool(0))
|
||||
@ -87,14 +87,14 @@ def bench():
|
||||
source_logic = source.create_logic(
|
||||
clk,
|
||||
rst,
|
||||
tdata=input_axis_tdata,
|
||||
tkeep=input_axis_tkeep,
|
||||
tvalid=input_axis_tvalid,
|
||||
tready=input_axis_tready,
|
||||
tlast=input_axis_tlast,
|
||||
tid=input_axis_tid,
|
||||
tdest=input_axis_tdest,
|
||||
tuser=input_axis_tuser,
|
||||
tdata=s_axis_tdata,
|
||||
tkeep=s_axis_tkeep,
|
||||
tvalid=s_axis_tvalid,
|
||||
tready=s_axis_tready,
|
||||
tlast=s_axis_tlast,
|
||||
tid=s_axis_tid,
|
||||
tdest=s_axis_tdest,
|
||||
tuser=s_axis_tuser,
|
||||
pause=source_pause,
|
||||
name='source'
|
||||
)
|
||||
@ -104,14 +104,14 @@ def bench():
|
||||
sink_logic = sink.create_logic(
|
||||
clk,
|
||||
rst,
|
||||
tdata=output_axis_tdata,
|
||||
tkeep=output_axis_tkeep,
|
||||
tvalid=output_axis_tvalid,
|
||||
tready=output_axis_tready,
|
||||
tlast=output_axis_tlast,
|
||||
tid=output_axis_tid,
|
||||
tdest=output_axis_tdest,
|
||||
tuser=output_axis_tuser,
|
||||
tdata=m_axis_tdata,
|
||||
tkeep=m_axis_tkeep,
|
||||
tvalid=m_axis_tvalid,
|
||||
tready=m_axis_tready,
|
||||
tlast=m_axis_tlast,
|
||||
tid=m_axis_tid,
|
||||
tdest=m_axis_tdest,
|
||||
tuser=m_axis_tuser,
|
||||
pause=sink_pause,
|
||||
name='sink'
|
||||
)
|
||||
@ -126,23 +126,23 @@ def bench():
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_axis_tdata=input_axis_tdata,
|
||||
input_axis_tkeep=input_axis_tkeep,
|
||||
input_axis_tvalid=input_axis_tvalid,
|
||||
input_axis_tready=input_axis_tready,
|
||||
input_axis_tlast=input_axis_tlast,
|
||||
input_axis_tid=input_axis_tid,
|
||||
input_axis_tdest=input_axis_tdest,
|
||||
input_axis_tuser=input_axis_tuser,
|
||||
s_axis_tdata=s_axis_tdata,
|
||||
s_axis_tkeep=s_axis_tkeep,
|
||||
s_axis_tvalid=s_axis_tvalid,
|
||||
s_axis_tready=s_axis_tready,
|
||||
s_axis_tlast=s_axis_tlast,
|
||||
s_axis_tid=s_axis_tid,
|
||||
s_axis_tdest=s_axis_tdest,
|
||||
s_axis_tuser=s_axis_tuser,
|
||||
|
||||
output_axis_tdata=output_axis_tdata,
|
||||
output_axis_tkeep=output_axis_tkeep,
|
||||
output_axis_tvalid=output_axis_tvalid,
|
||||
output_axis_tready=output_axis_tready,
|
||||
output_axis_tlast=output_axis_tlast,
|
||||
output_axis_tid=output_axis_tid,
|
||||
output_axis_tdest=output_axis_tdest,
|
||||
output_axis_tuser=output_axis_tuser
|
||||
m_axis_tdata=m_axis_tdata,
|
||||
m_axis_tkeep=m_axis_tkeep,
|
||||
m_axis_tvalid=m_axis_tvalid,
|
||||
m_axis_tready=m_axis_tready,
|
||||
m_axis_tlast=m_axis_tlast,
|
||||
m_axis_tid=m_axis_tid,
|
||||
m_axis_tdest=m_axis_tdest,
|
||||
m_axis_tuser=m_axis_tuser
|
||||
)
|
||||
|
||||
@always(delay(4))
|
||||
@ -302,7 +302,7 @@ def bench():
|
||||
source.send(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_axis_tvalid or output_axis_tvalid:
|
||||
while s_axis_tvalid or m_axis_tvalid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
@ -347,7 +347,7 @@ def bench():
|
||||
source.send(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_axis_tvalid or output_axis_tvalid:
|
||||
while s_axis_tvalid or m_axis_tvalid:
|
||||
sink_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
@ -48,24 +48,24 @@ reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_axis_tkeep = 0;
|
||||
reg input_axis_tvalid = 0;
|
||||
reg input_axis_tlast = 0;
|
||||
reg [ID_WIDTH-1:0] input_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_axis_tuser = 0;
|
||||
reg output_axis_tready = 0;
|
||||
reg [DATA_WIDTH-1:0] s_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] s_axis_tkeep = 0;
|
||||
reg s_axis_tvalid = 0;
|
||||
reg s_axis_tlast = 0;
|
||||
reg [ID_WIDTH-1:0] s_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] s_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] s_axis_tuser = 0;
|
||||
reg m_axis_tready = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_axis_tready;
|
||||
wire [DATA_WIDTH-1:0] output_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_axis_tkeep;
|
||||
wire output_axis_tvalid;
|
||||
wire output_axis_tlast;
|
||||
wire [ID_WIDTH-1:0] output_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_axis_tuser;
|
||||
wire s_axis_tready;
|
||||
wire [DATA_WIDTH-1:0] m_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] m_axis_tkeep;
|
||||
wire m_axis_tvalid;
|
||||
wire m_axis_tlast;
|
||||
wire [ID_WIDTH-1:0] m_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] m_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] m_axis_tuser;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
@ -73,24 +73,24 @@ initial begin
|
||||
clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_axis_tdata,
|
||||
input_axis_tkeep,
|
||||
input_axis_tvalid,
|
||||
input_axis_tlast,
|
||||
input_axis_tid,
|
||||
input_axis_tdest,
|
||||
input_axis_tuser,
|
||||
output_axis_tready
|
||||
s_axis_tdata,
|
||||
s_axis_tkeep,
|
||||
s_axis_tvalid,
|
||||
s_axis_tlast,
|
||||
s_axis_tid,
|
||||
s_axis_tdest,
|
||||
s_axis_tuser,
|
||||
m_axis_tready
|
||||
);
|
||||
$to_myhdl(
|
||||
input_axis_tready,
|
||||
output_axis_tdata,
|
||||
output_axis_tkeep,
|
||||
output_axis_tvalid,
|
||||
output_axis_tlast,
|
||||
output_axis_tid,
|
||||
output_axis_tdest,
|
||||
output_axis_tuser
|
||||
s_axis_tready,
|
||||
m_axis_tdata,
|
||||
m_axis_tkeep,
|
||||
m_axis_tvalid,
|
||||
m_axis_tlast,
|
||||
m_axis_tid,
|
||||
m_axis_tdest,
|
||||
m_axis_tuser
|
||||
);
|
||||
|
||||
// dump file
|
||||
@ -114,23 +114,23 @@ UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// AXI input
|
||||
.input_axis_tdata(input_axis_tdata),
|
||||
.input_axis_tkeep(input_axis_tkeep),
|
||||
.input_axis_tvalid(input_axis_tvalid),
|
||||
.input_axis_tready(input_axis_tready),
|
||||
.input_axis_tlast(input_axis_tlast),
|
||||
.input_axis_tid(input_axis_tid),
|
||||
.input_axis_tdest(input_axis_tdest),
|
||||
.input_axis_tuser(input_axis_tuser),
|
||||
.s_axis_tdata(s_axis_tdata),
|
||||
.s_axis_tkeep(s_axis_tkeep),
|
||||
.s_axis_tvalid(s_axis_tvalid),
|
||||
.s_axis_tready(s_axis_tready),
|
||||
.s_axis_tlast(s_axis_tlast),
|
||||
.s_axis_tid(s_axis_tid),
|
||||
.s_axis_tdest(s_axis_tdest),
|
||||
.s_axis_tuser(s_axis_tuser),
|
||||
// AXI output
|
||||
.output_axis_tdata(output_axis_tdata),
|
||||
.output_axis_tkeep(output_axis_tkeep),
|
||||
.output_axis_tvalid(output_axis_tvalid),
|
||||
.output_axis_tready(output_axis_tready),
|
||||
.output_axis_tlast(output_axis_tlast),
|
||||
.output_axis_tid(output_axis_tid),
|
||||
.output_axis_tdest(output_axis_tdest),
|
||||
.output_axis_tuser(output_axis_tuser)
|
||||
.m_axis_tdata(m_axis_tdata),
|
||||
.m_axis_tkeep(m_axis_tkeep),
|
||||
.m_axis_tvalid(m_axis_tvalid),
|
||||
.m_axis_tready(m_axis_tready),
|
||||
.m_axis_tlast(m_axis_tlast),
|
||||
.m_axis_tid(m_axis_tid),
|
||||
.m_axis_tdest(m_axis_tdest),
|
||||
.m_axis_tuser(m_axis_tuser)
|
||||
);
|
||||
|
||||
endmodule
|
||||
|
@ -59,24 +59,24 @@ def bench():
|
||||
rst = Signal(bool(0))
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_axis_tvalid = Signal(bool(0))
|
||||
input_axis_tlast = Signal(bool(0))
|
||||
input_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_axis_tready = Signal(bool(0))
|
||||
s_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
s_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
s_axis_tvalid = Signal(bool(0))
|
||||
s_axis_tlast = Signal(bool(0))
|
||||
s_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
s_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
s_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
m_axis_tready = Signal(bool(0))
|
||||
|
||||
# Outputs
|
||||
input_axis_tready = Signal(bool(0))
|
||||
output_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_axis_tvalid = Signal(bool(0))
|
||||
output_axis_tlast = Signal(bool(0))
|
||||
output_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
s_axis_tready = Signal(bool(1))
|
||||
m_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
m_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
m_axis_tvalid = Signal(bool(0))
|
||||
m_axis_tlast = Signal(bool(0))
|
||||
m_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
m_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
m_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
|
||||
# sources and sinks
|
||||
source_pause = Signal(bool(0))
|
||||
@ -87,14 +87,14 @@ def bench():
|
||||
source_logic = source.create_logic(
|
||||
clk,
|
||||
rst,
|
||||
tdata=input_axis_tdata,
|
||||
tkeep=input_axis_tkeep,
|
||||
tvalid=input_axis_tvalid,
|
||||
tready=input_axis_tready,
|
||||
tlast=input_axis_tlast,
|
||||
tid=input_axis_tid,
|
||||
tdest=input_axis_tdest,
|
||||
tuser=input_axis_tuser,
|
||||
tdata=s_axis_tdata,
|
||||
tkeep=s_axis_tkeep,
|
||||
tvalid=s_axis_tvalid,
|
||||
tready=s_axis_tready,
|
||||
tlast=s_axis_tlast,
|
||||
tid=s_axis_tid,
|
||||
tdest=s_axis_tdest,
|
||||
tuser=s_axis_tuser,
|
||||
pause=source_pause,
|
||||
name='source'
|
||||
)
|
||||
@ -104,14 +104,14 @@ def bench():
|
||||
sink_logic = sink.create_logic(
|
||||
clk,
|
||||
rst,
|
||||
tdata=output_axis_tdata,
|
||||
tkeep=output_axis_tkeep,
|
||||
tvalid=output_axis_tvalid,
|
||||
tready=output_axis_tready,
|
||||
tlast=output_axis_tlast,
|
||||
tid=output_axis_tid,
|
||||
tdest=output_axis_tdest,
|
||||
tuser=output_axis_tuser,
|
||||
tdata=m_axis_tdata,
|
||||
tkeep=m_axis_tkeep,
|
||||
tvalid=m_axis_tvalid,
|
||||
tready=m_axis_tready,
|
||||
tlast=m_axis_tlast,
|
||||
tid=m_axis_tid,
|
||||
tdest=m_axis_tdest,
|
||||
tuser=m_axis_tuser,
|
||||
pause=sink_pause,
|
||||
name='sink'
|
||||
)
|
||||
@ -126,23 +126,23 @@ def bench():
|
||||
rst=rst,
|
||||
current_test=current_test,
|
||||
|
||||
input_axis_tdata=input_axis_tdata,
|
||||
input_axis_tkeep=input_axis_tkeep,
|
||||
input_axis_tvalid=input_axis_tvalid,
|
||||
input_axis_tready=input_axis_tready,
|
||||
input_axis_tlast=input_axis_tlast,
|
||||
input_axis_tid=input_axis_tid,
|
||||
input_axis_tdest=input_axis_tdest,
|
||||
input_axis_tuser=input_axis_tuser,
|
||||
s_axis_tdata=s_axis_tdata,
|
||||
s_axis_tkeep=s_axis_tkeep,
|
||||
s_axis_tvalid=s_axis_tvalid,
|
||||
s_axis_tready=s_axis_tready,
|
||||
s_axis_tlast=s_axis_tlast,
|
||||
s_axis_tid=s_axis_tid,
|
||||
s_axis_tdest=s_axis_tdest,
|
||||
s_axis_tuser=s_axis_tuser,
|
||||
|
||||
output_axis_tdata=output_axis_tdata,
|
||||
output_axis_tkeep=output_axis_tkeep,
|
||||
output_axis_tvalid=output_axis_tvalid,
|
||||
output_axis_tready=output_axis_tready,
|
||||
output_axis_tlast=output_axis_tlast,
|
||||
output_axis_tid=output_axis_tid,
|
||||
output_axis_tdest=output_axis_tdest,
|
||||
output_axis_tuser=output_axis_tuser
|
||||
m_axis_tdata=m_axis_tdata,
|
||||
m_axis_tkeep=m_axis_tkeep,
|
||||
m_axis_tvalid=m_axis_tvalid,
|
||||
m_axis_tready=m_axis_tready,
|
||||
m_axis_tlast=m_axis_tlast,
|
||||
m_axis_tid=m_axis_tid,
|
||||
m_axis_tdest=m_axis_tdest,
|
||||
m_axis_tuser=m_axis_tuser
|
||||
)
|
||||
|
||||
@always(delay(4))
|
||||
@ -302,7 +302,7 @@ def bench():
|
||||
source.send(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_axis_tvalid or output_axis_tvalid:
|
||||
while s_axis_tvalid or m_axis_tvalid:
|
||||
source_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
@ -347,7 +347,7 @@ def bench():
|
||||
source.send(test_frame2)
|
||||
yield clk.posedge
|
||||
|
||||
while input_axis_tvalid or output_axis_tvalid:
|
||||
while s_axis_tvalid or m_axis_tvalid:
|
||||
sink_pause.next = True
|
||||
yield clk.posedge
|
||||
yield clk.posedge
|
||||
|
@ -48,24 +48,24 @@ reg clk = 0;
|
||||
reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_axis_tkeep = 0;
|
||||
reg input_axis_tvalid = 0;
|
||||
reg input_axis_tlast = 0;
|
||||
reg [ID_WIDTH-1:0] input_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_axis_tuser = 0;
|
||||
reg output_axis_tready = 0;
|
||||
reg [DATA_WIDTH-1:0] s_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] s_axis_tkeep = 0;
|
||||
reg s_axis_tvalid = 0;
|
||||
reg s_axis_tlast = 0;
|
||||
reg [ID_WIDTH-1:0] s_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] s_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] s_axis_tuser = 0;
|
||||
reg m_axis_tready = 0;
|
||||
|
||||
// Outputs
|
||||
wire input_axis_tready;
|
||||
wire [DATA_WIDTH-1:0] output_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_axis_tkeep;
|
||||
wire output_axis_tvalid;
|
||||
wire output_axis_tlast;
|
||||
wire [ID_WIDTH-1:0] output_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_axis_tuser;
|
||||
wire s_axis_tready;
|
||||
wire [DATA_WIDTH-1:0] m_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] m_axis_tkeep;
|
||||
wire m_axis_tvalid;
|
||||
wire m_axis_tlast;
|
||||
wire [ID_WIDTH-1:0] m_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] m_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] m_axis_tuser;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
@ -73,24 +73,24 @@ initial begin
|
||||
clk,
|
||||
rst,
|
||||
current_test,
|
||||
input_axis_tdata,
|
||||
input_axis_tkeep,
|
||||
input_axis_tvalid,
|
||||
input_axis_tlast,
|
||||
input_axis_tid,
|
||||
input_axis_tdest,
|
||||
input_axis_tuser,
|
||||
output_axis_tready
|
||||
s_axis_tdata,
|
||||
s_axis_tkeep,
|
||||
s_axis_tvalid,
|
||||
s_axis_tlast,
|
||||
s_axis_tid,
|
||||
s_axis_tdest,
|
||||
s_axis_tuser,
|
||||
m_axis_tready
|
||||
);
|
||||
$to_myhdl(
|
||||
input_axis_tready,
|
||||
output_axis_tdata,
|
||||
output_axis_tkeep,
|
||||
output_axis_tvalid,
|
||||
output_axis_tlast,
|
||||
output_axis_tid,
|
||||
output_axis_tdest,
|
||||
output_axis_tuser
|
||||
s_axis_tready,
|
||||
m_axis_tdata,
|
||||
m_axis_tkeep,
|
||||
m_axis_tvalid,
|
||||
m_axis_tlast,
|
||||
m_axis_tid,
|
||||
m_axis_tdest,
|
||||
m_axis_tuser
|
||||
);
|
||||
|
||||
// dump file
|
||||
@ -114,23 +114,23 @@ UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// AXI input
|
||||
.input_axis_tdata(input_axis_tdata),
|
||||
.input_axis_tkeep(input_axis_tkeep),
|
||||
.input_axis_tvalid(input_axis_tvalid),
|
||||
.input_axis_tready(input_axis_tready),
|
||||
.input_axis_tlast(input_axis_tlast),
|
||||
.input_axis_tid(input_axis_tid),
|
||||
.input_axis_tdest(input_axis_tdest),
|
||||
.input_axis_tuser(input_axis_tuser),
|
||||
.s_axis_tdata(s_axis_tdata),
|
||||
.s_axis_tkeep(s_axis_tkeep),
|
||||
.s_axis_tvalid(s_axis_tvalid),
|
||||
.s_axis_tready(s_axis_tready),
|
||||
.s_axis_tlast(s_axis_tlast),
|
||||
.s_axis_tid(s_axis_tid),
|
||||
.s_axis_tdest(s_axis_tdest),
|
||||
.s_axis_tuser(s_axis_tuser),
|
||||
// AXI output
|
||||
.output_axis_tdata(output_axis_tdata),
|
||||
.output_axis_tkeep(output_axis_tkeep),
|
||||
.output_axis_tvalid(output_axis_tvalid),
|
||||
.output_axis_tready(output_axis_tready),
|
||||
.output_axis_tlast(output_axis_tlast),
|
||||
.output_axis_tid(output_axis_tid),
|
||||
.output_axis_tdest(output_axis_tdest),
|
||||
.output_axis_tuser(output_axis_tuser)
|
||||
.m_axis_tdata(m_axis_tdata),
|
||||
.m_axis_tkeep(m_axis_tkeep),
|
||||
.m_axis_tvalid(m_axis_tvalid),
|
||||
.m_axis_tready(m_axis_tready),
|
||||
.m_axis_tlast(m_axis_tlast),
|
||||
.m_axis_tid(m_axis_tid),
|
||||
.m_axis_tdest(m_axis_tdest),
|
||||
.m_axis_tuser(m_axis_tuser)
|
||||
);
|
||||
|
||||
endmodule
|
||||
|
Loading…
x
Reference in New Issue
Block a user