mirror of
https://github.com/alexforencich/verilog-ethernet.git
synced 2025-01-14 06:43:18 +08:00
Consolidate, add configuration parameters, and add tid and tdest ports to AXI stream crosspoint
This commit is contained in:
parent
496c63bd1c
commit
91a7169f46
@ -78,29 +78,44 @@ THE SOFTWARE.
|
||||
*/
|
||||
module {{name}} #
|
||||
(
|
||||
parameter DATA_WIDTH = 8
|
||||
parameter DATA_WIDTH = 8,
|
||||
parameter KEEP_ENABLE = (DATA_WIDTH>8),
|
||||
parameter KEEP_WIDTH = (DATA_WIDTH/8),
|
||||
parameter LAST_ENABLE = 1,
|
||||
parameter ID_ENABLE = 0,
|
||||
parameter ID_WIDTH = 8,
|
||||
parameter DEST_ENABLE = 0,
|
||||
parameter DEST_WIDTH = 8,
|
||||
parameter USER_ENABLE = 1,
|
||||
parameter USER_WIDTH = 1
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI Stream inputs
|
||||
*/
|
||||
{%- for p in range(m) %}
|
||||
input wire [DATA_WIDTH-1:0] input_{{p}}_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_{{p}}_axis_tkeep,
|
||||
input wire input_{{p}}_axis_tvalid,
|
||||
input wire input_{{p}}_axis_tlast,
|
||||
input wire input_{{p}}_axis_tuser,
|
||||
input wire [ID_WIDTH-1:0] input_{{p}}_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] input_{{p}}_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] input_{{p}}_axis_tuser,
|
||||
{% endfor %}
|
||||
/*
|
||||
* AXI Stream outputs
|
||||
*/
|
||||
{%- for p in range(n) %}
|
||||
output wire [DATA_WIDTH-1:0] output_{{p}}_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_{{p}}_axis_tkeep,
|
||||
output wire output_{{p}}_axis_tvalid,
|
||||
output wire output_{{p}}_axis_tlast,
|
||||
output wire output_{{p}}_axis_tuser,
|
||||
output wire [ID_WIDTH-1:0] output_{{p}}_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] output_{{p}}_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] output_{{p}}_axis_tuser,
|
||||
{% endfor %}
|
||||
/*
|
||||
* Control
|
||||
@ -110,29 +125,37 @@ module {{name}} #
|
||||
{%- endfor %}
|
||||
);
|
||||
{% for p in range(m) %}
|
||||
reg [DATA_WIDTH-1:0] input_{{p}}_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] input_{{p}}_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_{{p}}_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_{{p}}_axis_tvalid_reg = 1'b0;
|
||||
reg input_{{p}}_axis_tlast_reg = 1'b0;
|
||||
reg input_{{p}}_axis_tuser_reg = 1'b0;
|
||||
reg input_{{p}}_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] input_{{p}}_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] input_{{p}}_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] input_{{p}}_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
{% endfor %}
|
||||
|
||||
{%- for p in range(n) %}
|
||||
reg [DATA_WIDTH-1:0] output_{{p}}_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] output_{{p}}_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_{{p}}_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_{{p}}_axis_tvalid_reg = 1'b0;
|
||||
reg output_{{p}}_axis_tlast_reg = 1'b0;
|
||||
reg output_{{p}}_axis_tuser_reg = 1'b0;
|
||||
reg output_{{p}}_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] output_{{p}}_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] output_{{p}}_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] output_{{p}}_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
{% endfor %}
|
||||
|
||||
{%- for p in range(n) %}
|
||||
reg [{{w-1}}:0] output_{{p}}_select_reg = {{w}}'d0;
|
||||
{%- endfor %}
|
||||
{% for p in range(n) %}
|
||||
assign output_{{p}}_axis_tdata = output_{{p}}_axis_tdata_reg;
|
||||
assign output_{{p}}_axis_tdata = output_{{p}}_axis_tdata_reg;
|
||||
assign output_{{p}}_axis_tkeep = KEEP_ENABLE ? output_{{p}}_axis_tkeep_reg : {KEEP_WIDTH{1'b1}};
|
||||
assign output_{{p}}_axis_tvalid = output_{{p}}_axis_tvalid_reg;
|
||||
assign output_{{p}}_axis_tlast = output_{{p}}_axis_tlast_reg;
|
||||
assign output_{{p}}_axis_tuser = output_{{p}}_axis_tuser_reg;
|
||||
assign output_{{p}}_axis_tlast = LAST_ENABLE ? output_{{p}}_axis_tlast_reg : 1'b1;
|
||||
assign output_{{p}}_axis_tid = ID_ENABLE ? output_{{p}}_axis_tid_reg : {ID_WIDTH{1'b0}};
|
||||
assign output_{{p}}_axis_tdest = DEST_ENABLE ? output_{{p}}_axis_tdest_reg : {DEST_WIDTH{1'b0}};
|
||||
assign output_{{p}}_axis_tuser = USER_ENABLE ? output_{{p}}_axis_tuser_reg : {USER_WIDTH{1'b0}};
|
||||
{% endfor %}
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (rst) begin
|
||||
{%- for p in range(n) %}
|
||||
@ -163,7 +186,10 @@ always @(posedge clk) begin
|
||||
{%- for p in range(m) %}
|
||||
|
||||
input_{{p}}_axis_tdata_reg <= input_{{p}}_axis_tdata;
|
||||
input_{{p}}_axis_tkeep_reg <= input_{{p}}_axis_tkeep;
|
||||
input_{{p}}_axis_tlast_reg <= input_{{p}}_axis_tlast;
|
||||
input_{{p}}_axis_tid_reg <= input_{{p}}_axis_tid;
|
||||
input_{{p}}_axis_tdest_reg <= input_{{p}}_axis_tdest;
|
||||
input_{{p}}_axis_tuser_reg <= input_{{p}}_axis_tuser;
|
||||
{%- endfor %}
|
||||
{%- for p in range(n) %}
|
||||
@ -172,7 +198,10 @@ always @(posedge clk) begin
|
||||
{%- for q in range(m) %}
|
||||
{{w}}'d{{q}}: begin
|
||||
output_{{p}}_axis_tdata_reg <= input_{{q}}_axis_tdata_reg;
|
||||
output_{{p}}_axis_tkeep_reg <= input_{{q}}_axis_tkeep_reg;
|
||||
output_{{p}}_axis_tlast_reg <= input_{{q}}_axis_tlast_reg;
|
||||
output_{{p}}_axis_tid_reg <= input_{{q}}_axis_tid_reg;
|
||||
output_{{p}}_axis_tdest_reg <= input_{{q}}_axis_tdest_reg;
|
||||
output_{{p}}_axis_tuser_reg <= input_{{q}}_axis_tuser_reg;
|
||||
end
|
||||
{%- endfor %}
|
||||
@ -183,14 +212,14 @@ end
|
||||
endmodule
|
||||
|
||||
""")
|
||||
|
||||
|
||||
output_file.write(t.render(
|
||||
m=m,
|
||||
n=n,
|
||||
w=select_width,
|
||||
name=name
|
||||
))
|
||||
|
||||
|
||||
print("Done")
|
||||
|
||||
if __name__ == "__main__":
|
||||
|
@ -31,57 +31,90 @@ THE SOFTWARE.
|
||||
*/
|
||||
module axis_crosspoint_4x4 #
|
||||
(
|
||||
parameter DATA_WIDTH = 8
|
||||
parameter DATA_WIDTH = 8,
|
||||
parameter KEEP_ENABLE = (DATA_WIDTH>8),
|
||||
parameter KEEP_WIDTH = (DATA_WIDTH/8),
|
||||
parameter LAST_ENABLE = 1,
|
||||
parameter ID_ENABLE = 0,
|
||||
parameter ID_WIDTH = 8,
|
||||
parameter DEST_ENABLE = 0,
|
||||
parameter DEST_WIDTH = 8,
|
||||
parameter USER_ENABLE = 1,
|
||||
parameter USER_WIDTH = 1
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI Stream inputs
|
||||
*/
|
||||
input wire [DATA_WIDTH-1:0] input_0_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_0_axis_tkeep,
|
||||
input wire input_0_axis_tvalid,
|
||||
input wire input_0_axis_tlast,
|
||||
input wire input_0_axis_tuser,
|
||||
input wire [ID_WIDTH-1:0] input_0_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] input_0_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] input_0_axis_tuser,
|
||||
|
||||
input wire [DATA_WIDTH-1:0] input_1_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_1_axis_tkeep,
|
||||
input wire input_1_axis_tvalid,
|
||||
input wire input_1_axis_tlast,
|
||||
input wire input_1_axis_tuser,
|
||||
input wire [ID_WIDTH-1:0] input_1_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] input_1_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] input_1_axis_tuser,
|
||||
|
||||
input wire [DATA_WIDTH-1:0] input_2_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_2_axis_tkeep,
|
||||
input wire input_2_axis_tvalid,
|
||||
input wire input_2_axis_tlast,
|
||||
input wire input_2_axis_tuser,
|
||||
input wire [ID_WIDTH-1:0] input_2_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] input_2_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] input_2_axis_tuser,
|
||||
|
||||
input wire [DATA_WIDTH-1:0] input_3_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_3_axis_tkeep,
|
||||
input wire input_3_axis_tvalid,
|
||||
input wire input_3_axis_tlast,
|
||||
input wire input_3_axis_tuser,
|
||||
input wire [ID_WIDTH-1:0] input_3_axis_tid,
|
||||
input wire [DEST_WIDTH-1:0] input_3_axis_tdest,
|
||||
input wire [USER_WIDTH-1:0] input_3_axis_tuser,
|
||||
|
||||
/*
|
||||
* AXI Stream outputs
|
||||
*/
|
||||
output wire [DATA_WIDTH-1:0] output_0_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_0_axis_tkeep,
|
||||
output wire output_0_axis_tvalid,
|
||||
output wire output_0_axis_tlast,
|
||||
output wire output_0_axis_tuser,
|
||||
output wire [ID_WIDTH-1:0] output_0_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] output_0_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] output_0_axis_tuser,
|
||||
|
||||
output wire [DATA_WIDTH-1:0] output_1_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_1_axis_tkeep,
|
||||
output wire output_1_axis_tvalid,
|
||||
output wire output_1_axis_tlast,
|
||||
output wire output_1_axis_tuser,
|
||||
output wire [ID_WIDTH-1:0] output_1_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] output_1_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] output_1_axis_tuser,
|
||||
|
||||
output wire [DATA_WIDTH-1:0] output_2_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_2_axis_tkeep,
|
||||
output wire output_2_axis_tvalid,
|
||||
output wire output_2_axis_tlast,
|
||||
output wire output_2_axis_tuser,
|
||||
output wire [ID_WIDTH-1:0] output_2_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] output_2_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] output_2_axis_tuser,
|
||||
|
||||
output wire [DATA_WIDTH-1:0] output_3_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_3_axis_tkeep,
|
||||
output wire output_3_axis_tvalid,
|
||||
output wire output_3_axis_tlast,
|
||||
output wire output_3_axis_tuser,
|
||||
output wire [ID_WIDTH-1:0] output_3_axis_tid,
|
||||
output wire [DEST_WIDTH-1:0] output_3_axis_tdest,
|
||||
output wire [USER_WIDTH-1:0] output_3_axis_tuser,
|
||||
|
||||
/*
|
||||
* Control
|
||||
@ -92,71 +125,106 @@ module axis_crosspoint_4x4 #
|
||||
input wire [1:0] output_3_select
|
||||
);
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_0_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] input_0_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_0_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_0_axis_tvalid_reg = 1'b0;
|
||||
reg input_0_axis_tlast_reg = 1'b0;
|
||||
reg input_0_axis_tuser_reg = 1'b0;
|
||||
reg input_0_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] input_0_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] input_0_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] input_0_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_1_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] input_1_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_1_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_1_axis_tvalid_reg = 1'b0;
|
||||
reg input_1_axis_tlast_reg = 1'b0;
|
||||
reg input_1_axis_tuser_reg = 1'b0;
|
||||
reg input_1_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] input_1_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] input_1_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] input_1_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_2_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] input_2_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_2_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_2_axis_tvalid_reg = 1'b0;
|
||||
reg input_2_axis_tlast_reg = 1'b0;
|
||||
reg input_2_axis_tuser_reg = 1'b0;
|
||||
reg input_2_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] input_2_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] input_2_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] input_2_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_3_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] input_3_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_3_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_3_axis_tvalid_reg = 1'b0;
|
||||
reg input_3_axis_tlast_reg = 1'b0;
|
||||
reg input_3_axis_tuser_reg = 1'b0;
|
||||
reg input_3_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] input_3_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] input_3_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] input_3_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_0_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] output_0_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_0_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_0_axis_tvalid_reg = 1'b0;
|
||||
reg output_0_axis_tlast_reg = 1'b0;
|
||||
reg output_0_axis_tuser_reg = 1'b0;
|
||||
reg output_0_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] output_0_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] output_0_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] output_0_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_1_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] output_1_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_1_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_1_axis_tvalid_reg = 1'b0;
|
||||
reg output_1_axis_tlast_reg = 1'b0;
|
||||
reg output_1_axis_tuser_reg = 1'b0;
|
||||
reg output_1_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] output_1_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] output_1_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] output_1_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_2_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] output_2_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_2_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_2_axis_tvalid_reg = 1'b0;
|
||||
reg output_2_axis_tlast_reg = 1'b0;
|
||||
reg output_2_axis_tuser_reg = 1'b0;
|
||||
reg output_2_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] output_2_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] output_2_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] output_2_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_3_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [DATA_WIDTH-1:0] output_3_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_3_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_3_axis_tvalid_reg = 1'b0;
|
||||
reg output_3_axis_tlast_reg = 1'b0;
|
||||
reg output_3_axis_tuser_reg = 1'b0;
|
||||
reg output_3_axis_tlast_reg = 1'b0;
|
||||
reg [ID_WIDTH-1:0] output_3_axis_tid_reg = {ID_WIDTH{1'b0}};
|
||||
reg [DEST_WIDTH-1:0] output_3_axis_tdest_reg = {DEST_WIDTH{1'b0}};
|
||||
reg [USER_WIDTH-1:0] output_3_axis_tuser_reg = {USER_WIDTH{1'b0}};
|
||||
|
||||
reg [1:0] output_0_select_reg = 2'd0;
|
||||
reg [1:0] output_1_select_reg = 2'd0;
|
||||
reg [1:0] output_2_select_reg = 2'd0;
|
||||
reg [1:0] output_3_select_reg = 2'd0;
|
||||
|
||||
assign output_0_axis_tdata = output_0_axis_tdata_reg;
|
||||
assign output_0_axis_tdata = output_0_axis_tdata_reg;
|
||||
assign output_0_axis_tkeep = KEEP_ENABLE ? output_0_axis_tkeep_reg : {KEEP_WIDTH{1'b1}};
|
||||
assign output_0_axis_tvalid = output_0_axis_tvalid_reg;
|
||||
assign output_0_axis_tlast = output_0_axis_tlast_reg;
|
||||
assign output_0_axis_tuser = output_0_axis_tuser_reg;
|
||||
assign output_0_axis_tlast = LAST_ENABLE ? output_0_axis_tlast_reg : 1'b1;
|
||||
assign output_0_axis_tid = ID_ENABLE ? output_0_axis_tid_reg : {ID_WIDTH{1'b0}};
|
||||
assign output_0_axis_tdest = DEST_ENABLE ? output_0_axis_tdest_reg : {DEST_WIDTH{1'b0}};
|
||||
assign output_0_axis_tuser = USER_ENABLE ? output_0_axis_tuser_reg : {USER_WIDTH{1'b0}};
|
||||
|
||||
assign output_1_axis_tdata = output_1_axis_tdata_reg;
|
||||
assign output_1_axis_tdata = output_1_axis_tdata_reg;
|
||||
assign output_1_axis_tkeep = KEEP_ENABLE ? output_1_axis_tkeep_reg : {KEEP_WIDTH{1'b1}};
|
||||
assign output_1_axis_tvalid = output_1_axis_tvalid_reg;
|
||||
assign output_1_axis_tlast = output_1_axis_tlast_reg;
|
||||
assign output_1_axis_tuser = output_1_axis_tuser_reg;
|
||||
assign output_1_axis_tlast = LAST_ENABLE ? output_1_axis_tlast_reg : 1'b1;
|
||||
assign output_1_axis_tid = ID_ENABLE ? output_1_axis_tid_reg : {ID_WIDTH{1'b0}};
|
||||
assign output_1_axis_tdest = DEST_ENABLE ? output_1_axis_tdest_reg : {DEST_WIDTH{1'b0}};
|
||||
assign output_1_axis_tuser = USER_ENABLE ? output_1_axis_tuser_reg : {USER_WIDTH{1'b0}};
|
||||
|
||||
assign output_2_axis_tdata = output_2_axis_tdata_reg;
|
||||
assign output_2_axis_tdata = output_2_axis_tdata_reg;
|
||||
assign output_2_axis_tkeep = KEEP_ENABLE ? output_2_axis_tkeep_reg : {KEEP_WIDTH{1'b1}};
|
||||
assign output_2_axis_tvalid = output_2_axis_tvalid_reg;
|
||||
assign output_2_axis_tlast = output_2_axis_tlast_reg;
|
||||
assign output_2_axis_tuser = output_2_axis_tuser_reg;
|
||||
assign output_2_axis_tlast = LAST_ENABLE ? output_2_axis_tlast_reg : 1'b1;
|
||||
assign output_2_axis_tid = ID_ENABLE ? output_2_axis_tid_reg : {ID_WIDTH{1'b0}};
|
||||
assign output_2_axis_tdest = DEST_ENABLE ? output_2_axis_tdest_reg : {DEST_WIDTH{1'b0}};
|
||||
assign output_2_axis_tuser = USER_ENABLE ? output_2_axis_tuser_reg : {USER_WIDTH{1'b0}};
|
||||
|
||||
assign output_3_axis_tdata = output_3_axis_tdata_reg;
|
||||
assign output_3_axis_tdata = output_3_axis_tdata_reg;
|
||||
assign output_3_axis_tkeep = KEEP_ENABLE ? output_3_axis_tkeep_reg : {KEEP_WIDTH{1'b1}};
|
||||
assign output_3_axis_tvalid = output_3_axis_tvalid_reg;
|
||||
assign output_3_axis_tlast = output_3_axis_tlast_reg;
|
||||
assign output_3_axis_tuser = output_3_axis_tuser_reg;
|
||||
|
||||
assign output_3_axis_tlast = LAST_ENABLE ? output_3_axis_tlast_reg : 1'b1;
|
||||
assign output_3_axis_tid = ID_ENABLE ? output_3_axis_tid_reg : {ID_WIDTH{1'b0}};
|
||||
assign output_3_axis_tdest = DEST_ENABLE ? output_3_axis_tdest_reg : {DEST_WIDTH{1'b0}};
|
||||
assign output_3_axis_tuser = USER_ENABLE ? output_3_axis_tuser_reg : {USER_WIDTH{1'b0}};
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (rst) begin
|
||||
@ -215,40 +283,64 @@ always @(posedge clk) begin
|
||||
end
|
||||
|
||||
input_0_axis_tdata_reg <= input_0_axis_tdata;
|
||||
input_0_axis_tkeep_reg <= input_0_axis_tkeep;
|
||||
input_0_axis_tlast_reg <= input_0_axis_tlast;
|
||||
input_0_axis_tid_reg <= input_0_axis_tid;
|
||||
input_0_axis_tdest_reg <= input_0_axis_tdest;
|
||||
input_0_axis_tuser_reg <= input_0_axis_tuser;
|
||||
|
||||
input_1_axis_tdata_reg <= input_1_axis_tdata;
|
||||
input_1_axis_tkeep_reg <= input_1_axis_tkeep;
|
||||
input_1_axis_tlast_reg <= input_1_axis_tlast;
|
||||
input_1_axis_tid_reg <= input_1_axis_tid;
|
||||
input_1_axis_tdest_reg <= input_1_axis_tdest;
|
||||
input_1_axis_tuser_reg <= input_1_axis_tuser;
|
||||
|
||||
input_2_axis_tdata_reg <= input_2_axis_tdata;
|
||||
input_2_axis_tkeep_reg <= input_2_axis_tkeep;
|
||||
input_2_axis_tlast_reg <= input_2_axis_tlast;
|
||||
input_2_axis_tid_reg <= input_2_axis_tid;
|
||||
input_2_axis_tdest_reg <= input_2_axis_tdest;
|
||||
input_2_axis_tuser_reg <= input_2_axis_tuser;
|
||||
|
||||
input_3_axis_tdata_reg <= input_3_axis_tdata;
|
||||
input_3_axis_tkeep_reg <= input_3_axis_tkeep;
|
||||
input_3_axis_tlast_reg <= input_3_axis_tlast;
|
||||
input_3_axis_tid_reg <= input_3_axis_tid;
|
||||
input_3_axis_tdest_reg <= input_3_axis_tdest;
|
||||
input_3_axis_tuser_reg <= input_3_axis_tuser;
|
||||
|
||||
case (output_0_select_reg)
|
||||
2'd0: begin
|
||||
output_0_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_0_axis_tid_reg <= input_0_axis_tid_reg;
|
||||
output_0_axis_tdest_reg <= input_0_axis_tdest_reg;
|
||||
output_0_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_0_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_0_axis_tid_reg <= input_1_axis_tid_reg;
|
||||
output_0_axis_tdest_reg <= input_1_axis_tdest_reg;
|
||||
output_0_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_0_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_0_axis_tid_reg <= input_2_axis_tid_reg;
|
||||
output_0_axis_tdest_reg <= input_2_axis_tdest_reg;
|
||||
output_0_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_0_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_0_axis_tid_reg <= input_3_axis_tid_reg;
|
||||
output_0_axis_tdest_reg <= input_3_axis_tdest_reg;
|
||||
output_0_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
@ -256,22 +348,34 @@ always @(posedge clk) begin
|
||||
case (output_1_select_reg)
|
||||
2'd0: begin
|
||||
output_1_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_1_axis_tid_reg <= input_0_axis_tid_reg;
|
||||
output_1_axis_tdest_reg <= input_0_axis_tdest_reg;
|
||||
output_1_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_1_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_1_axis_tid_reg <= input_1_axis_tid_reg;
|
||||
output_1_axis_tdest_reg <= input_1_axis_tdest_reg;
|
||||
output_1_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_1_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_1_axis_tid_reg <= input_2_axis_tid_reg;
|
||||
output_1_axis_tdest_reg <= input_2_axis_tdest_reg;
|
||||
output_1_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_1_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_1_axis_tid_reg <= input_3_axis_tid_reg;
|
||||
output_1_axis_tdest_reg <= input_3_axis_tdest_reg;
|
||||
output_1_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
@ -279,22 +383,34 @@ always @(posedge clk) begin
|
||||
case (output_2_select_reg)
|
||||
2'd0: begin
|
||||
output_2_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_2_axis_tid_reg <= input_0_axis_tid_reg;
|
||||
output_2_axis_tdest_reg <= input_0_axis_tdest_reg;
|
||||
output_2_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_2_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_2_axis_tid_reg <= input_1_axis_tid_reg;
|
||||
output_2_axis_tdest_reg <= input_1_axis_tdest_reg;
|
||||
output_2_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_2_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_2_axis_tid_reg <= input_2_axis_tid_reg;
|
||||
output_2_axis_tdest_reg <= input_2_axis_tdest_reg;
|
||||
output_2_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_2_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_2_axis_tid_reg <= input_3_axis_tid_reg;
|
||||
output_2_axis_tdest_reg <= input_3_axis_tdest_reg;
|
||||
output_2_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
@ -302,22 +418,34 @@ always @(posedge clk) begin
|
||||
case (output_3_select_reg)
|
||||
2'd0: begin
|
||||
output_3_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_3_axis_tid_reg <= input_0_axis_tid_reg;
|
||||
output_3_axis_tdest_reg <= input_0_axis_tdest_reg;
|
||||
output_3_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_3_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_3_axis_tid_reg <= input_1_axis_tid_reg;
|
||||
output_3_axis_tdest_reg <= input_1_axis_tdest_reg;
|
||||
output_3_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_3_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_3_axis_tid_reg <= input_2_axis_tid_reg;
|
||||
output_3_axis_tdest_reg <= input_2_axis_tdest_reg;
|
||||
output_3_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_3_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_3_axis_tid_reg <= input_3_axis_tid_reg;
|
||||
output_3_axis_tdest_reg <= input_3_axis_tdest_reg;
|
||||
output_3_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
|
@ -1,206 +0,0 @@
|
||||
#!/usr/bin/env python
|
||||
"""
|
||||
Generates an AXI Stream crosspoint switch with the specified number of ports
|
||||
"""
|
||||
|
||||
from __future__ import print_function
|
||||
|
||||
import argparse
|
||||
import math
|
||||
from jinja2 import Template
|
||||
|
||||
def main():
|
||||
parser = argparse.ArgumentParser(description=__doc__.strip())
|
||||
parser.add_argument('-p', '--ports', type=int, default=[4], nargs='+', help="number of ports")
|
||||
parser.add_argument('-n', '--name', type=str, help="module name")
|
||||
parser.add_argument('-o', '--output', type=str, help="output file name")
|
||||
|
||||
args = parser.parse_args()
|
||||
|
||||
try:
|
||||
generate(**args.__dict__)
|
||||
except IOError as ex:
|
||||
print(ex)
|
||||
exit(1)
|
||||
|
||||
def generate(ports=4, name=None, output=None):
|
||||
if type(ports) is int:
|
||||
m = n = ports
|
||||
elif len(ports) == 1:
|
||||
m = n = ports[0]
|
||||
else:
|
||||
m, n = ports
|
||||
|
||||
if name is None:
|
||||
name = "axis_crosspoint_64_{0}x{1}".format(m, n)
|
||||
|
||||
if output is None:
|
||||
output = name + ".v"
|
||||
|
||||
print("Opening file '{0}'...".format(output))
|
||||
|
||||
output_file = open(output, 'w')
|
||||
|
||||
print("Generating {0}x{1} port AXI Stream crosspoint {2}...".format(m, n, name))
|
||||
|
||||
select_width = int(math.ceil(math.log(m, 2)))
|
||||
|
||||
t = Template(u"""/*
|
||||
|
||||
Copyright (c) 2014-2017 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* AXI4-Stream {{m}}x{{n}} crosspoint (64 bit datapath)
|
||||
*/
|
||||
module {{name}} #
|
||||
(
|
||||
parameter DATA_WIDTH = 64,
|
||||
parameter KEEP_WIDTH = (DATA_WIDTH/8)
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI Stream inputs
|
||||
*/
|
||||
{%- for p in range(m) %}
|
||||
input wire [DATA_WIDTH-1:0] input_{{p}}_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_{{p}}_axis_tkeep,
|
||||
input wire input_{{p}}_axis_tvalid,
|
||||
input wire input_{{p}}_axis_tlast,
|
||||
input wire input_{{p}}_axis_tuser,
|
||||
{% endfor %}
|
||||
/*
|
||||
* AXI Stream outputs
|
||||
*/
|
||||
{%- for p in range(n) %}
|
||||
output wire [DATA_WIDTH-1:0] output_{{p}}_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_{{p}}_axis_tkeep,
|
||||
output wire output_{{p}}_axis_tvalid,
|
||||
output wire output_{{p}}_axis_tlast,
|
||||
output wire output_{{p}}_axis_tuser,
|
||||
{% endfor %}
|
||||
/*
|
||||
* Control
|
||||
*/
|
||||
{%- for p in range(n) %}
|
||||
input wire [{{w-1}}:0] output_{{p}}_select{% if not loop.last %},{% endif %}
|
||||
{%- endfor %}
|
||||
);
|
||||
{% for p in range(m) %}
|
||||
reg [DATA_WIDTH-1:0] input_{{p}}_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_{{p}}_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_{{p}}_axis_tvalid_reg = 1'b0;
|
||||
reg input_{{p}}_axis_tlast_reg = 1'b0;
|
||||
reg input_{{p}}_axis_tuser_reg = 1'b0;
|
||||
{% endfor %}
|
||||
|
||||
{%- for p in range(n) %}
|
||||
reg [DATA_WIDTH-1:0] output_{{p}}_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_{{p}}_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_{{p}}_axis_tvalid_reg = 1'b0;
|
||||
reg output_{{p}}_axis_tlast_reg = 1'b0;
|
||||
reg output_{{p}}_axis_tuser_reg = 1'b0;
|
||||
{% endfor %}
|
||||
|
||||
{%- for p in range(n) %}
|
||||
reg [{{w-1}}:0] output_{{p}}_select_reg = {{w}}'d0;
|
||||
{%- endfor %}
|
||||
{% for p in range(n) %}
|
||||
assign output_{{p}}_axis_tdata = output_{{p}}_axis_tdata_reg;
|
||||
assign output_{{p}}_axis_tkeep = output_{{p}}_axis_tkeep_reg;
|
||||
assign output_{{p}}_axis_tvalid = output_{{p}}_axis_tvalid_reg;
|
||||
assign output_{{p}}_axis_tlast = output_{{p}}_axis_tlast_reg;
|
||||
assign output_{{p}}_axis_tuser = output_{{p}}_axis_tuser_reg;
|
||||
{% endfor %}
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (rst) begin
|
||||
{%- for p in range(n) %}
|
||||
output_{{p}}_select_reg <= {{w}}'d0;
|
||||
{%- endfor %}
|
||||
{% for p in range(m) %}
|
||||
input_{{p}}_axis_tvalid_reg <= 1'b0;
|
||||
{%- endfor %}
|
||||
{% for p in range(n) %}
|
||||
output_{{p}}_axis_tvalid_reg <= 1'b0;
|
||||
{%- endfor %}
|
||||
end else begin
|
||||
{%- for p in range(m) %}
|
||||
input_{{p}}_axis_tvalid_reg <= input_{{p}}_axis_tvalid;
|
||||
{%- endfor %}
|
||||
{% for p in range(n) %}
|
||||
output_{{p}}_select_reg <= output_{{p}}_select;
|
||||
{%- endfor %}
|
||||
{%- for p in range(n) %}
|
||||
|
||||
case (output_{{p}}_select_reg)
|
||||
{%- for q in range(m) %}
|
||||
{{w}}'d{{q}}: output_{{p}}_axis_tvalid_reg <= input_{{q}}_axis_tvalid_reg;
|
||||
{%- endfor %}
|
||||
endcase
|
||||
{%- endfor %}
|
||||
end
|
||||
{%- for p in range(m) %}
|
||||
|
||||
input_{{p}}_axis_tdata_reg <= input_{{p}}_axis_tdata;
|
||||
input_{{p}}_axis_tkeep_reg <= input_{{p}}_axis_tkeep;
|
||||
input_{{p}}_axis_tlast_reg <= input_{{p}}_axis_tlast;
|
||||
input_{{p}}_axis_tuser_reg <= input_{{p}}_axis_tuser;
|
||||
{%- endfor %}
|
||||
{%- for p in range(n) %}
|
||||
|
||||
case (output_{{p}}_select_reg)
|
||||
{%- for q in range(m) %}
|
||||
{{w}}'d{{q}}: begin
|
||||
output_{{p}}_axis_tdata_reg <= input_{{q}}_axis_tdata_reg;
|
||||
output_{{p}}_axis_tkeep_reg <= input_{{q}}_axis_tkeep_reg;
|
||||
output_{{p}}_axis_tlast_reg <= input_{{q}}_axis_tlast_reg;
|
||||
output_{{p}}_axis_tuser_reg <= input_{{q}}_axis_tuser_reg;
|
||||
end
|
||||
{%- endfor %}
|
||||
endcase
|
||||
{%- endfor %}
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
""")
|
||||
|
||||
output_file.write(t.render(
|
||||
m=m,
|
||||
n=n,
|
||||
w=select_width,
|
||||
name=name
|
||||
))
|
||||
|
||||
print("Done")
|
||||
|
||||
if __name__ == "__main__":
|
||||
main()
|
||||
|
@ -1,367 +0,0 @@
|
||||
/*
|
||||
|
||||
Copyright (c) 2014-2017 Alex Forencich
|
||||
|
||||
Permission is hereby granted, free of charge, to any person obtaining a copy
|
||||
of this software and associated documentation files (the "Software"), to deal
|
||||
in the Software without restriction, including without limitation the rights
|
||||
to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
|
||||
copies of the Software, and to permit persons to whom the Software is
|
||||
furnished to do so, subject to the following conditions:
|
||||
|
||||
The above copyright notice and this permission notice shall be included in
|
||||
all copies or substantial portions of the Software.
|
||||
|
||||
THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
|
||||
IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
|
||||
FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
|
||||
AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
|
||||
LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
|
||||
OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
|
||||
THE SOFTWARE.
|
||||
|
||||
*/
|
||||
|
||||
// Language: Verilog 2001
|
||||
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* AXI4-Stream 4x4 crosspoint (64 bit datapath)
|
||||
*/
|
||||
module axis_crosspoint_64_4x4 #
|
||||
(
|
||||
parameter DATA_WIDTH = 64,
|
||||
parameter KEEP_WIDTH = (DATA_WIDTH/8)
|
||||
)
|
||||
(
|
||||
input wire clk,
|
||||
input wire rst,
|
||||
|
||||
/*
|
||||
* AXI Stream inputs
|
||||
*/
|
||||
input wire [DATA_WIDTH-1:0] input_0_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_0_axis_tkeep,
|
||||
input wire input_0_axis_tvalid,
|
||||
input wire input_0_axis_tlast,
|
||||
input wire input_0_axis_tuser,
|
||||
|
||||
input wire [DATA_WIDTH-1:0] input_1_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_1_axis_tkeep,
|
||||
input wire input_1_axis_tvalid,
|
||||
input wire input_1_axis_tlast,
|
||||
input wire input_1_axis_tuser,
|
||||
|
||||
input wire [DATA_WIDTH-1:0] input_2_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_2_axis_tkeep,
|
||||
input wire input_2_axis_tvalid,
|
||||
input wire input_2_axis_tlast,
|
||||
input wire input_2_axis_tuser,
|
||||
|
||||
input wire [DATA_WIDTH-1:0] input_3_axis_tdata,
|
||||
input wire [KEEP_WIDTH-1:0] input_3_axis_tkeep,
|
||||
input wire input_3_axis_tvalid,
|
||||
input wire input_3_axis_tlast,
|
||||
input wire input_3_axis_tuser,
|
||||
|
||||
/*
|
||||
* AXI Stream outputs
|
||||
*/
|
||||
output wire [DATA_WIDTH-1:0] output_0_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_0_axis_tkeep,
|
||||
output wire output_0_axis_tvalid,
|
||||
output wire output_0_axis_tlast,
|
||||
output wire output_0_axis_tuser,
|
||||
|
||||
output wire [DATA_WIDTH-1:0] output_1_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_1_axis_tkeep,
|
||||
output wire output_1_axis_tvalid,
|
||||
output wire output_1_axis_tlast,
|
||||
output wire output_1_axis_tuser,
|
||||
|
||||
output wire [DATA_WIDTH-1:0] output_2_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_2_axis_tkeep,
|
||||
output wire output_2_axis_tvalid,
|
||||
output wire output_2_axis_tlast,
|
||||
output wire output_2_axis_tuser,
|
||||
|
||||
output wire [DATA_WIDTH-1:0] output_3_axis_tdata,
|
||||
output wire [KEEP_WIDTH-1:0] output_3_axis_tkeep,
|
||||
output wire output_3_axis_tvalid,
|
||||
output wire output_3_axis_tlast,
|
||||
output wire output_3_axis_tuser,
|
||||
|
||||
/*
|
||||
* Control
|
||||
*/
|
||||
input wire [1:0] output_0_select,
|
||||
input wire [1:0] output_1_select,
|
||||
input wire [1:0] output_2_select,
|
||||
input wire [1:0] output_3_select
|
||||
);
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_0_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_0_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_0_axis_tvalid_reg = 1'b0;
|
||||
reg input_0_axis_tlast_reg = 1'b0;
|
||||
reg input_0_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_1_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_1_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_1_axis_tvalid_reg = 1'b0;
|
||||
reg input_1_axis_tlast_reg = 1'b0;
|
||||
reg input_1_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_2_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_2_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_2_axis_tvalid_reg = 1'b0;
|
||||
reg input_2_axis_tlast_reg = 1'b0;
|
||||
reg input_2_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_3_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] input_3_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg input_3_axis_tvalid_reg = 1'b0;
|
||||
reg input_3_axis_tlast_reg = 1'b0;
|
||||
reg input_3_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_0_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_0_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_0_axis_tvalid_reg = 1'b0;
|
||||
reg output_0_axis_tlast_reg = 1'b0;
|
||||
reg output_0_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_1_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_1_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_1_axis_tvalid_reg = 1'b0;
|
||||
reg output_1_axis_tlast_reg = 1'b0;
|
||||
reg output_1_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_2_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_2_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_2_axis_tvalid_reg = 1'b0;
|
||||
reg output_2_axis_tlast_reg = 1'b0;
|
||||
reg output_2_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] output_3_axis_tdata_reg = {DATA_WIDTH{1'b0}};
|
||||
reg [KEEP_WIDTH-1:0] output_3_axis_tkeep_reg = {KEEP_WIDTH{1'b0}};
|
||||
reg output_3_axis_tvalid_reg = 1'b0;
|
||||
reg output_3_axis_tlast_reg = 1'b0;
|
||||
reg output_3_axis_tuser_reg = 1'b0;
|
||||
|
||||
reg [1:0] output_0_select_reg = 2'd0;
|
||||
reg [1:0] output_1_select_reg = 2'd0;
|
||||
reg [1:0] output_2_select_reg = 2'd0;
|
||||
reg [1:0] output_3_select_reg = 2'd0;
|
||||
|
||||
assign output_0_axis_tdata = output_0_axis_tdata_reg;
|
||||
assign output_0_axis_tkeep = output_0_axis_tkeep_reg;
|
||||
assign output_0_axis_tvalid = output_0_axis_tvalid_reg;
|
||||
assign output_0_axis_tlast = output_0_axis_tlast_reg;
|
||||
assign output_0_axis_tuser = output_0_axis_tuser_reg;
|
||||
|
||||
assign output_1_axis_tdata = output_1_axis_tdata_reg;
|
||||
assign output_1_axis_tkeep = output_1_axis_tkeep_reg;
|
||||
assign output_1_axis_tvalid = output_1_axis_tvalid_reg;
|
||||
assign output_1_axis_tlast = output_1_axis_tlast_reg;
|
||||
assign output_1_axis_tuser = output_1_axis_tuser_reg;
|
||||
|
||||
assign output_2_axis_tdata = output_2_axis_tdata_reg;
|
||||
assign output_2_axis_tkeep = output_2_axis_tkeep_reg;
|
||||
assign output_2_axis_tvalid = output_2_axis_tvalid_reg;
|
||||
assign output_2_axis_tlast = output_2_axis_tlast_reg;
|
||||
assign output_2_axis_tuser = output_2_axis_tuser_reg;
|
||||
|
||||
assign output_3_axis_tdata = output_3_axis_tdata_reg;
|
||||
assign output_3_axis_tkeep = output_3_axis_tkeep_reg;
|
||||
assign output_3_axis_tvalid = output_3_axis_tvalid_reg;
|
||||
assign output_3_axis_tlast = output_3_axis_tlast_reg;
|
||||
assign output_3_axis_tuser = output_3_axis_tuser_reg;
|
||||
|
||||
|
||||
always @(posedge clk) begin
|
||||
if (rst) begin
|
||||
output_0_select_reg <= 2'd0;
|
||||
output_1_select_reg <= 2'd0;
|
||||
output_2_select_reg <= 2'd0;
|
||||
output_3_select_reg <= 2'd0;
|
||||
|
||||
input_0_axis_tvalid_reg <= 1'b0;
|
||||
input_1_axis_tvalid_reg <= 1'b0;
|
||||
input_2_axis_tvalid_reg <= 1'b0;
|
||||
input_3_axis_tvalid_reg <= 1'b0;
|
||||
|
||||
output_0_axis_tvalid_reg <= 1'b0;
|
||||
output_1_axis_tvalid_reg <= 1'b0;
|
||||
output_2_axis_tvalid_reg <= 1'b0;
|
||||
output_3_axis_tvalid_reg <= 1'b0;
|
||||
end else begin
|
||||
input_0_axis_tvalid_reg <= input_0_axis_tvalid;
|
||||
input_1_axis_tvalid_reg <= input_1_axis_tvalid;
|
||||
input_2_axis_tvalid_reg <= input_2_axis_tvalid;
|
||||
input_3_axis_tvalid_reg <= input_3_axis_tvalid;
|
||||
|
||||
output_0_select_reg <= output_0_select;
|
||||
output_1_select_reg <= output_1_select;
|
||||
output_2_select_reg <= output_2_select;
|
||||
output_3_select_reg <= output_3_select;
|
||||
|
||||
case (output_0_select_reg)
|
||||
2'd0: output_0_axis_tvalid_reg <= input_0_axis_tvalid_reg;
|
||||
2'd1: output_0_axis_tvalid_reg <= input_1_axis_tvalid_reg;
|
||||
2'd2: output_0_axis_tvalid_reg <= input_2_axis_tvalid_reg;
|
||||
2'd3: output_0_axis_tvalid_reg <= input_3_axis_tvalid_reg;
|
||||
endcase
|
||||
|
||||
case (output_1_select_reg)
|
||||
2'd0: output_1_axis_tvalid_reg <= input_0_axis_tvalid_reg;
|
||||
2'd1: output_1_axis_tvalid_reg <= input_1_axis_tvalid_reg;
|
||||
2'd2: output_1_axis_tvalid_reg <= input_2_axis_tvalid_reg;
|
||||
2'd3: output_1_axis_tvalid_reg <= input_3_axis_tvalid_reg;
|
||||
endcase
|
||||
|
||||
case (output_2_select_reg)
|
||||
2'd0: output_2_axis_tvalid_reg <= input_0_axis_tvalid_reg;
|
||||
2'd1: output_2_axis_tvalid_reg <= input_1_axis_tvalid_reg;
|
||||
2'd2: output_2_axis_tvalid_reg <= input_2_axis_tvalid_reg;
|
||||
2'd3: output_2_axis_tvalid_reg <= input_3_axis_tvalid_reg;
|
||||
endcase
|
||||
|
||||
case (output_3_select_reg)
|
||||
2'd0: output_3_axis_tvalid_reg <= input_0_axis_tvalid_reg;
|
||||
2'd1: output_3_axis_tvalid_reg <= input_1_axis_tvalid_reg;
|
||||
2'd2: output_3_axis_tvalid_reg <= input_2_axis_tvalid_reg;
|
||||
2'd3: output_3_axis_tvalid_reg <= input_3_axis_tvalid_reg;
|
||||
endcase
|
||||
end
|
||||
|
||||
input_0_axis_tdata_reg <= input_0_axis_tdata;
|
||||
input_0_axis_tkeep_reg <= input_0_axis_tkeep;
|
||||
input_0_axis_tlast_reg <= input_0_axis_tlast;
|
||||
input_0_axis_tuser_reg <= input_0_axis_tuser;
|
||||
|
||||
input_1_axis_tdata_reg <= input_1_axis_tdata;
|
||||
input_1_axis_tkeep_reg <= input_1_axis_tkeep;
|
||||
input_1_axis_tlast_reg <= input_1_axis_tlast;
|
||||
input_1_axis_tuser_reg <= input_1_axis_tuser;
|
||||
|
||||
input_2_axis_tdata_reg <= input_2_axis_tdata;
|
||||
input_2_axis_tkeep_reg <= input_2_axis_tkeep;
|
||||
input_2_axis_tlast_reg <= input_2_axis_tlast;
|
||||
input_2_axis_tuser_reg <= input_2_axis_tuser;
|
||||
|
||||
input_3_axis_tdata_reg <= input_3_axis_tdata;
|
||||
input_3_axis_tkeep_reg <= input_3_axis_tkeep;
|
||||
input_3_axis_tlast_reg <= input_3_axis_tlast;
|
||||
input_3_axis_tuser_reg <= input_3_axis_tuser;
|
||||
|
||||
case (output_0_select_reg)
|
||||
2'd0: begin
|
||||
output_0_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_0_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_0_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_0_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_0_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_0_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_0_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_0_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_0_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_0_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
|
||||
case (output_1_select_reg)
|
||||
2'd0: begin
|
||||
output_1_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_1_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_1_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_1_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_1_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_1_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_1_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_1_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_1_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_1_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
|
||||
case (output_2_select_reg)
|
||||
2'd0: begin
|
||||
output_2_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_2_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_2_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_2_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_2_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_2_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_2_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_2_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_2_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_2_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
|
||||
case (output_3_select_reg)
|
||||
2'd0: begin
|
||||
output_3_axis_tdata_reg <= input_0_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_0_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_0_axis_tlast_reg;
|
||||
output_3_axis_tuser_reg <= input_0_axis_tuser_reg;
|
||||
end
|
||||
2'd1: begin
|
||||
output_3_axis_tdata_reg <= input_1_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_1_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_1_axis_tlast_reg;
|
||||
output_3_axis_tuser_reg <= input_1_axis_tuser_reg;
|
||||
end
|
||||
2'd2: begin
|
||||
output_3_axis_tdata_reg <= input_2_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_2_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_2_axis_tlast_reg;
|
||||
output_3_axis_tuser_reg <= input_2_axis_tuser_reg;
|
||||
end
|
||||
2'd3: begin
|
||||
output_3_axis_tdata_reg <= input_3_axis_tdata_reg;
|
||||
output_3_axis_tkeep_reg <= input_3_axis_tkeep_reg;
|
||||
output_3_axis_tlast_reg <= input_3_axis_tlast_reg;
|
||||
output_3_axis_tuser_reg <= input_3_axis_tuser_reg;
|
||||
end
|
||||
endcase
|
||||
end
|
||||
|
||||
endmodule
|
@ -44,6 +44,15 @@ def bench():
|
||||
|
||||
# Parameters
|
||||
DATA_WIDTH = 8
|
||||
KEEP_ENABLE = (DATA_WIDTH>8)
|
||||
KEEP_WIDTH = (DATA_WIDTH/8)
|
||||
LAST_ENABLE = 1
|
||||
ID_ENABLE = 1
|
||||
ID_WIDTH = 8
|
||||
DEST_ENABLE = 1
|
||||
DEST_WIDTH = 8
|
||||
USER_ENABLE = 1
|
||||
USER_WIDTH = 1
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
@ -51,21 +60,33 @@ def bench():
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_0_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_0_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_0_axis_tvalid = Signal(bool(0))
|
||||
input_0_axis_tlast = Signal(bool(0))
|
||||
input_0_axis_tuser = Signal(bool(0))
|
||||
input_0_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_0_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_0_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
input_1_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_1_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_1_axis_tvalid = Signal(bool(0))
|
||||
input_1_axis_tlast = Signal(bool(0))
|
||||
input_1_axis_tuser = Signal(bool(0))
|
||||
input_1_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_1_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_1_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
input_2_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_2_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_2_axis_tvalid = Signal(bool(0))
|
||||
input_2_axis_tlast = Signal(bool(0))
|
||||
input_2_axis_tuser = Signal(bool(0))
|
||||
input_2_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_2_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_2_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
input_3_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_3_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_3_axis_tvalid = Signal(bool(0))
|
||||
input_3_axis_tlast = Signal(bool(0))
|
||||
input_3_axis_tuser = Signal(bool(0))
|
||||
input_3_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_3_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_3_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
|
||||
output_0_select = Signal(intbv(0)[2:])
|
||||
output_1_select = Signal(intbv(0)[2:])
|
||||
@ -74,21 +95,33 @@ def bench():
|
||||
|
||||
# Outputs
|
||||
output_0_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_0_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_0_axis_tvalid = Signal(bool(0))
|
||||
output_0_axis_tlast = Signal(bool(0))
|
||||
output_0_axis_tuser = Signal(bool(0))
|
||||
output_0_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_0_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_0_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_1_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_1_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_1_axis_tvalid = Signal(bool(0))
|
||||
output_1_axis_tlast = Signal(bool(0))
|
||||
output_1_axis_tuser = Signal(bool(0))
|
||||
output_1_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_1_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_1_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_2_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_2_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_2_axis_tvalid = Signal(bool(0))
|
||||
output_2_axis_tlast = Signal(bool(0))
|
||||
output_2_axis_tuser = Signal(bool(0))
|
||||
output_2_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_2_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_2_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_3_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_3_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_3_axis_tvalid = Signal(bool(0))
|
||||
output_3_axis_tlast = Signal(bool(0))
|
||||
output_3_axis_tuser = Signal(bool(0))
|
||||
output_3_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_3_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_3_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
|
||||
# sources and sinks
|
||||
source_0_pause = Signal(bool(0))
|
||||
@ -106,8 +139,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=input_0_axis_tdata,
|
||||
tkeep=input_0_axis_tkeep,
|
||||
tvalid=input_0_axis_tvalid,
|
||||
tlast=input_0_axis_tlast,
|
||||
tid=input_0_axis_tid,
|
||||
tdest=input_0_axis_tdest,
|
||||
tuser=input_0_axis_tuser,
|
||||
pause=source_0_pause,
|
||||
name='source_0'
|
||||
@ -119,8 +155,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=input_1_axis_tdata,
|
||||
tkeep=input_1_axis_tkeep,
|
||||
tvalid=input_1_axis_tvalid,
|
||||
tlast=input_1_axis_tlast,
|
||||
tid=input_1_axis_tid,
|
||||
tdest=input_1_axis_tdest,
|
||||
tuser=input_1_axis_tuser,
|
||||
pause=source_1_pause,
|
||||
name='source_1'
|
||||
@ -132,8 +171,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=input_2_axis_tdata,
|
||||
tkeep=input_2_axis_tkeep,
|
||||
tvalid=input_2_axis_tvalid,
|
||||
tlast=input_2_axis_tlast,
|
||||
tid=input_2_axis_tid,
|
||||
tdest=input_2_axis_tdest,
|
||||
tuser=input_2_axis_tuser,
|
||||
pause=source_2_pause,
|
||||
name='source_2'
|
||||
@ -145,8 +187,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=input_3_axis_tdata,
|
||||
tkeep=input_3_axis_tkeep,
|
||||
tvalid=input_3_axis_tvalid,
|
||||
tlast=input_3_axis_tlast,
|
||||
tid=input_3_axis_tid,
|
||||
tdest=input_3_axis_tdest,
|
||||
tuser=input_3_axis_tuser,
|
||||
pause=source_3_pause,
|
||||
name='source_3'
|
||||
@ -158,8 +203,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=output_0_axis_tdata,
|
||||
tkeep=output_0_axis_tkeep,
|
||||
tvalid=output_0_axis_tvalid,
|
||||
tlast=output_0_axis_tlast,
|
||||
tid=output_0_axis_tid,
|
||||
tdest=output_0_axis_tdest,
|
||||
tuser=output_0_axis_tuser,
|
||||
pause=sink_0_pause,
|
||||
name='sink_0'
|
||||
@ -171,8 +219,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=output_1_axis_tdata,
|
||||
tkeep=output_1_axis_tkeep,
|
||||
tvalid=output_1_axis_tvalid,
|
||||
tlast=output_1_axis_tlast,
|
||||
tid=output_1_axis_tid,
|
||||
tdest=output_1_axis_tdest,
|
||||
tuser=output_1_axis_tuser,
|
||||
pause=sink_1_pause,
|
||||
name='sink_1'
|
||||
@ -184,8 +235,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=output_2_axis_tdata,
|
||||
tkeep=output_2_axis_tkeep,
|
||||
tvalid=output_2_axis_tvalid,
|
||||
tlast=output_2_axis_tlast,
|
||||
tid=output_2_axis_tid,
|
||||
tdest=output_2_axis_tdest,
|
||||
tuser=output_2_axis_tuser,
|
||||
pause=sink_2_pause,
|
||||
name='sink_2'
|
||||
@ -197,8 +251,11 @@ def bench():
|
||||
clk,
|
||||
rst,
|
||||
tdata=output_3_axis_tdata,
|
||||
tkeep=output_3_axis_tkeep,
|
||||
tvalid=output_3_axis_tvalid,
|
||||
tlast=output_3_axis_tlast,
|
||||
tid=output_3_axis_tid,
|
||||
tdest=output_3_axis_tdest,
|
||||
tuser=output_3_axis_tuser,
|
||||
pause=sink_3_pause,
|
||||
name='sink_3'
|
||||
@ -215,37 +272,61 @@ def bench():
|
||||
current_test=current_test,
|
||||
|
||||
input_0_axis_tdata=input_0_axis_tdata,
|
||||
input_0_axis_tkeep=input_0_axis_tkeep,
|
||||
input_0_axis_tvalid=input_0_axis_tvalid,
|
||||
input_0_axis_tlast=input_0_axis_tlast,
|
||||
input_0_axis_tid=input_0_axis_tid,
|
||||
input_0_axis_tdest=input_0_axis_tdest,
|
||||
input_0_axis_tuser=input_0_axis_tuser,
|
||||
input_1_axis_tdata=input_1_axis_tdata,
|
||||
input_1_axis_tkeep=input_1_axis_tkeep,
|
||||
input_1_axis_tvalid=input_1_axis_tvalid,
|
||||
input_1_axis_tlast=input_1_axis_tlast,
|
||||
input_1_axis_tid=input_1_axis_tid,
|
||||
input_1_axis_tdest=input_1_axis_tdest,
|
||||
input_1_axis_tuser=input_1_axis_tuser,
|
||||
input_2_axis_tdata=input_2_axis_tdata,
|
||||
input_2_axis_tkeep=input_2_axis_tkeep,
|
||||
input_2_axis_tvalid=input_2_axis_tvalid,
|
||||
input_2_axis_tlast=input_2_axis_tlast,
|
||||
input_2_axis_tid=input_2_axis_tid,
|
||||
input_2_axis_tdest=input_2_axis_tdest,
|
||||
input_2_axis_tuser=input_2_axis_tuser,
|
||||
input_3_axis_tdata=input_3_axis_tdata,
|
||||
input_3_axis_tkeep=input_3_axis_tkeep,
|
||||
input_3_axis_tvalid=input_3_axis_tvalid,
|
||||
input_3_axis_tlast=input_3_axis_tlast,
|
||||
input_3_axis_tid=input_3_axis_tid,
|
||||
input_3_axis_tdest=input_3_axis_tdest,
|
||||
input_3_axis_tuser=input_3_axis_tuser,
|
||||
|
||||
output_0_axis_tdata=output_0_axis_tdata,
|
||||
output_0_axis_tkeep=output_0_axis_tkeep,
|
||||
output_0_axis_tvalid=output_0_axis_tvalid,
|
||||
output_0_axis_tlast=output_0_axis_tlast,
|
||||
output_0_axis_tid=output_0_axis_tid,
|
||||
output_0_axis_tdest=output_0_axis_tdest,
|
||||
output_0_axis_tuser=output_0_axis_tuser,
|
||||
output_1_axis_tdata=output_1_axis_tdata,
|
||||
output_1_axis_tkeep=output_1_axis_tkeep,
|
||||
output_1_axis_tvalid=output_1_axis_tvalid,
|
||||
output_1_axis_tlast=output_1_axis_tlast,
|
||||
output_1_axis_tid=output_1_axis_tid,
|
||||
output_1_axis_tdest=output_1_axis_tdest,
|
||||
output_1_axis_tuser=output_1_axis_tuser,
|
||||
output_2_axis_tdata=output_2_axis_tdata,
|
||||
output_2_axis_tkeep=output_2_axis_tkeep,
|
||||
output_2_axis_tvalid=output_2_axis_tvalid,
|
||||
output_2_axis_tlast=output_2_axis_tlast,
|
||||
output_2_axis_tid=output_2_axis_tid,
|
||||
output_2_axis_tdest=output_2_axis_tdest,
|
||||
output_2_axis_tuser=output_2_axis_tuser,
|
||||
output_3_axis_tdata=output_3_axis_tdata,
|
||||
output_3_axis_tkeep=output_3_axis_tkeep,
|
||||
output_3_axis_tvalid=output_3_axis_tvalid,
|
||||
output_3_axis_tlast=output_3_axis_tlast,
|
||||
output_3_axis_tid=output_3_axis_tid,
|
||||
output_3_axis_tdest=output_3_axis_tdest,
|
||||
output_3_axis_tuser=output_3_axis_tuser,
|
||||
|
||||
output_0_select=output_0_select,
|
||||
@ -280,10 +361,10 @@ def bench():
|
||||
output_2_select.next = 2
|
||||
output_3_select.next = 3
|
||||
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x01\x00\x00\xFF\x01\x02\x03\x04')
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x01\x01\x01\xFF\x01\x02\x03\x04')
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x01\x02\x02\xFF\x01\x02\x03\x04')
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x01\x03\x03\xFF\x01\x02\x03\x04')
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x01\x00\x00\xFF\x01\x02\x03\x04', id=0, dest=0)
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x01\x01\x01\xFF\x01\x02\x03\x04', id=1, dest=1)
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x01\x02\x02\xFF\x01\x02\x03\x04', id=2, dest=2)
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x01\x03\x03\xFF\x01\x02\x03\x04', id=3, dest=3)
|
||||
source_0.send(test_frame0)
|
||||
source_1.send(test_frame1)
|
||||
source_2.send(test_frame2)
|
||||
@ -322,10 +403,10 @@ def bench():
|
||||
output_2_select.next = 1
|
||||
output_3_select.next = 0
|
||||
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x02\x00\x03\xFF\x01\x02\x03\x04')
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x02\x01\x02\xFF\x01\x02\x03\x04')
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x02\x02\x01\xFF\x01\x02\x03\x04')
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x02\x03\x00\xFF\x01\x02\x03\x04')
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x02\x00\x03\xFF\x01\x02\x03\x04', id=0, dest=3)
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x02\x01\x02\xFF\x01\x02\x03\x04', id=1, dest=2)
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x02\x02\x01\xFF\x01\x02\x03\x04', id=2, dest=1)
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x02\x03\x00\xFF\x01\x02\x03\x04', id=3, dest=0)
|
||||
source_0.send(test_frame0)
|
||||
source_1.send(test_frame1)
|
||||
source_2.send(test_frame2)
|
||||
@ -364,7 +445,7 @@ def bench():
|
||||
output_2_select.next = 0
|
||||
output_3_select.next = 0
|
||||
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x03\x00\xFF\xFF\x01\x02\x03\x04')
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x03\x00\xFF\xFF\x01\x02\x03\x04', id=0, dest=0)
|
||||
source_0.send(test_frame0)
|
||||
yield clk.posedge
|
||||
|
||||
|
@ -33,6 +33,15 @@ module test_axis_crosspoint_4x4;
|
||||
|
||||
// Parameters
|
||||
parameter DATA_WIDTH = 8;
|
||||
parameter KEEP_ENABLE = (DATA_WIDTH>8);
|
||||
parameter KEEP_WIDTH = (DATA_WIDTH/8);
|
||||
parameter LAST_ENABLE = 1;
|
||||
parameter ID_ENABLE = 1;
|
||||
parameter ID_WIDTH = 8;
|
||||
parameter DEST_ENABLE = 1;
|
||||
parameter DEST_WIDTH = 8;
|
||||
parameter USER_ENABLE = 1;
|
||||
parameter USER_WIDTH = 1;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
@ -40,21 +49,33 @@ reg rst = 0;
|
||||
reg [7:0] current_test = 0;
|
||||
|
||||
reg [DATA_WIDTH-1:0] input_0_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_0_axis_tkeep = 0;
|
||||
reg input_0_axis_tvalid = 0;
|
||||
reg input_0_axis_tlast = 0;
|
||||
reg input_0_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_0_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_0_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_0_axis_tuser = 0;
|
||||
reg [DATA_WIDTH-1:0] input_1_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_1_axis_tkeep = 0;
|
||||
reg input_1_axis_tvalid = 0;
|
||||
reg input_1_axis_tlast = 0;
|
||||
reg input_1_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_1_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_1_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_1_axis_tuser = 0;
|
||||
reg [DATA_WIDTH-1:0] input_2_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_2_axis_tkeep = 0;
|
||||
reg input_2_axis_tvalid = 0;
|
||||
reg input_2_axis_tlast = 0;
|
||||
reg input_2_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_2_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_2_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_2_axis_tuser = 0;
|
||||
reg [DATA_WIDTH-1:0] input_3_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_3_axis_tkeep = 0;
|
||||
reg input_3_axis_tvalid = 0;
|
||||
reg input_3_axis_tlast = 0;
|
||||
reg input_3_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_3_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_3_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_3_axis_tuser = 0;
|
||||
|
||||
reg [1:0] output_0_select = 0;
|
||||
reg [1:0] output_1_select = 0;
|
||||
@ -63,21 +84,33 @@ reg [1:0] output_3_select = 0;
|
||||
|
||||
// Outputs
|
||||
wire [DATA_WIDTH-1:0] output_0_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_0_axis_tkeep;
|
||||
wire output_0_axis_tvalid;
|
||||
wire output_0_axis_tlast;
|
||||
wire output_0_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_0_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_0_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_0_axis_tuser;
|
||||
wire [DATA_WIDTH-1:0] output_1_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_1_axis_tkeep;
|
||||
wire output_1_axis_tvalid;
|
||||
wire output_1_axis_tlast;
|
||||
wire output_1_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_1_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_1_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_1_axis_tuser;
|
||||
wire [DATA_WIDTH-1:0] output_2_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_2_axis_tkeep;
|
||||
wire output_2_axis_tvalid;
|
||||
wire output_2_axis_tlast;
|
||||
wire output_2_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_2_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_2_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_2_axis_tuser;
|
||||
wire [DATA_WIDTH-1:0] output_3_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_3_axis_tkeep;
|
||||
wire output_3_axis_tvalid;
|
||||
wire output_3_axis_tlast;
|
||||
wire output_3_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_3_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_3_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_3_axis_tuser;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
@ -86,20 +119,32 @@ initial begin
|
||||
rst,
|
||||
current_test,
|
||||
input_0_axis_tdata,
|
||||
input_0_axis_tkeep,
|
||||
input_0_axis_tvalid,
|
||||
input_0_axis_tlast,
|
||||
input_0_axis_tid,
|
||||
input_0_axis_tdest,
|
||||
input_0_axis_tuser,
|
||||
input_1_axis_tdata,
|
||||
input_1_axis_tkeep,
|
||||
input_1_axis_tvalid,
|
||||
input_1_axis_tlast,
|
||||
input_1_axis_tid,
|
||||
input_1_axis_tdest,
|
||||
input_1_axis_tuser,
|
||||
input_2_axis_tdata,
|
||||
input_2_axis_tkeep,
|
||||
input_2_axis_tvalid,
|
||||
input_2_axis_tlast,
|
||||
input_2_axis_tid,
|
||||
input_2_axis_tdest,
|
||||
input_2_axis_tuser,
|
||||
input_3_axis_tdata,
|
||||
input_3_axis_tkeep,
|
||||
input_3_axis_tvalid,
|
||||
input_3_axis_tlast,
|
||||
input_3_axis_tid,
|
||||
input_3_axis_tdest,
|
||||
input_3_axis_tuser,
|
||||
output_0_select,
|
||||
output_1_select,
|
||||
@ -108,20 +153,32 @@ initial begin
|
||||
);
|
||||
$to_myhdl(
|
||||
output_0_axis_tdata,
|
||||
output_0_axis_tkeep,
|
||||
output_0_axis_tvalid,
|
||||
output_0_axis_tlast,
|
||||
output_0_axis_tid,
|
||||
output_0_axis_tdest,
|
||||
output_0_axis_tuser,
|
||||
output_1_axis_tdata,
|
||||
output_1_axis_tkeep,
|
||||
output_1_axis_tvalid,
|
||||
output_1_axis_tlast,
|
||||
output_1_axis_tid,
|
||||
output_1_axis_tdest,
|
||||
output_1_axis_tuser,
|
||||
output_2_axis_tdata,
|
||||
output_2_axis_tkeep,
|
||||
output_2_axis_tvalid,
|
||||
output_2_axis_tlast,
|
||||
output_2_axis_tid,
|
||||
output_2_axis_tdest,
|
||||
output_2_axis_tuser,
|
||||
output_3_axis_tdata,
|
||||
output_3_axis_tkeep,
|
||||
output_3_axis_tvalid,
|
||||
output_3_axis_tlast,
|
||||
output_3_axis_tid,
|
||||
output_3_axis_tdest,
|
||||
output_3_axis_tuser
|
||||
);
|
||||
|
||||
@ -131,44 +188,77 @@ initial begin
|
||||
end
|
||||
|
||||
axis_crosspoint_4x4 #(
|
||||
.DATA_WIDTH(DATA_WIDTH)
|
||||
.DATA_WIDTH(DATA_WIDTH),
|
||||
.KEEP_ENABLE(KEEP_ENABLE),
|
||||
.KEEP_WIDTH(KEEP_WIDTH),
|
||||
.LAST_ENABLE(LAST_ENABLE),
|
||||
.ID_ENABLE(ID_ENABLE),
|
||||
.ID_WIDTH(ID_WIDTH),
|
||||
.DEST_ENABLE(DEST_ENABLE),
|
||||
.DEST_WIDTH(DEST_WIDTH),
|
||||
.USER_ENABLE(USER_ENABLE),
|
||||
.USER_WIDTH(USER_WIDTH)
|
||||
)
|
||||
UUT (
|
||||
.clk(clk),
|
||||
.rst(rst),
|
||||
// AXI inputs
|
||||
.input_0_axis_tdata(input_0_axis_tdata),
|
||||
.input_0_axis_tkeep(input_0_axis_tkeep),
|
||||
.input_0_axis_tvalid(input_0_axis_tvalid),
|
||||
.input_0_axis_tlast(input_0_axis_tlast),
|
||||
.input_0_axis_tid(input_0_axis_tid),
|
||||
.input_0_axis_tdest(input_0_axis_tdest),
|
||||
.input_0_axis_tuser(input_0_axis_tuser),
|
||||
.input_1_axis_tdata(input_1_axis_tdata),
|
||||
.input_1_axis_tkeep(input_1_axis_tkeep),
|
||||
.input_1_axis_tvalid(input_1_axis_tvalid),
|
||||
.input_1_axis_tlast(input_1_axis_tlast),
|
||||
.input_1_axis_tid(input_1_axis_tid),
|
||||
.input_1_axis_tdest(input_1_axis_tdest),
|
||||
.input_1_axis_tuser(input_1_axis_tuser),
|
||||
.input_2_axis_tdata(input_2_axis_tdata),
|
||||
.input_2_axis_tkeep(input_2_axis_tkeep),
|
||||
.input_2_axis_tvalid(input_2_axis_tvalid),
|
||||
.input_2_axis_tlast(input_2_axis_tlast),
|
||||
.input_2_axis_tid(input_2_axis_tid),
|
||||
.input_2_axis_tdest(input_2_axis_tdest),
|
||||
.input_2_axis_tuser(input_2_axis_tuser),
|
||||
.input_3_axis_tdata(input_3_axis_tdata),
|
||||
.input_3_axis_tkeep(input_3_axis_tkeep),
|
||||
.input_3_axis_tvalid(input_3_axis_tvalid),
|
||||
.input_3_axis_tlast(input_3_axis_tlast),
|
||||
.input_3_axis_tid(input_3_axis_tid),
|
||||
.input_3_axis_tdest(input_3_axis_tdest),
|
||||
.input_3_axis_tuser(input_3_axis_tuser),
|
||||
// AXI outputs
|
||||
.output_0_axis_tdata(output_0_axis_tdata),
|
||||
.output_0_axis_tkeep(output_0_axis_tkeep),
|
||||
.output_0_axis_tvalid(output_0_axis_tvalid),
|
||||
.output_0_axis_tlast(output_0_axis_tlast),
|
||||
.output_0_axis_tid(output_0_axis_tid),
|
||||
.output_0_axis_tdest(output_0_axis_tdest),
|
||||
.output_0_axis_tuser(output_0_axis_tuser),
|
||||
.output_1_axis_tdata(output_1_axis_tdata),
|
||||
.output_1_axis_tkeep(output_1_axis_tkeep),
|
||||
.output_1_axis_tvalid(output_1_axis_tvalid),
|
||||
.output_1_axis_tlast(output_1_axis_tlast),
|
||||
.output_1_axis_tid(output_1_axis_tid),
|
||||
.output_1_axis_tdest(output_1_axis_tdest),
|
||||
.output_1_axis_tuser(output_1_axis_tuser),
|
||||
.output_2_axis_tdata(output_2_axis_tdata),
|
||||
.output_2_axis_tkeep(output_2_axis_tkeep),
|
||||
.output_2_axis_tvalid(output_2_axis_tvalid),
|
||||
.output_2_axis_tlast(output_2_axis_tlast),
|
||||
.output_2_axis_tid(output_2_axis_tid),
|
||||
.output_2_axis_tdest(output_2_axis_tdest),
|
||||
.output_2_axis_tuser(output_2_axis_tuser),
|
||||
.output_3_axis_tdata(output_3_axis_tdata),
|
||||
.output_3_axis_tkeep(output_3_axis_tkeep),
|
||||
.output_3_axis_tvalid(output_3_axis_tvalid),
|
||||
.output_3_axis_tlast(output_3_axis_tlast),
|
||||
.output_3_axis_tid(output_3_axis_tid),
|
||||
.output_3_axis_tdest(output_3_axis_tdest),
|
||||
.output_3_axis_tuser(output_3_axis_tuser),
|
||||
// Control
|
||||
.output_0_select(output_0_select),
|
||||
|
@ -28,8 +28,8 @@ import os
|
||||
|
||||
import axis_ep
|
||||
|
||||
module = 'axis_crosspoint_64_4x4'
|
||||
testbench = 'test_%s' % module
|
||||
module = 'axis_crosspoint_4x4'
|
||||
testbench = 'test_%s_64' % module
|
||||
|
||||
srcs = []
|
||||
|
||||
@ -44,7 +44,15 @@ def bench():
|
||||
|
||||
# Parameters
|
||||
DATA_WIDTH = 64
|
||||
KEEP_ENABLE = (DATA_WIDTH>8)
|
||||
KEEP_WIDTH = (DATA_WIDTH/8)
|
||||
LAST_ENABLE = 1
|
||||
ID_ENABLE = 1
|
||||
ID_WIDTH = 8
|
||||
DEST_ENABLE = 1
|
||||
DEST_WIDTH = 8
|
||||
USER_ENABLE = 1
|
||||
USER_WIDTH = 1
|
||||
|
||||
# Inputs
|
||||
clk = Signal(bool(0))
|
||||
@ -52,25 +60,33 @@ def bench():
|
||||
current_test = Signal(intbv(0)[8:])
|
||||
|
||||
input_0_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_0_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
input_0_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_0_axis_tvalid = Signal(bool(0))
|
||||
input_0_axis_tlast = Signal(bool(0))
|
||||
input_0_axis_tuser = Signal(bool(0))
|
||||
input_0_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_0_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_0_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
input_1_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_1_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
input_1_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_1_axis_tvalid = Signal(bool(0))
|
||||
input_1_axis_tlast = Signal(bool(0))
|
||||
input_1_axis_tuser = Signal(bool(0))
|
||||
input_1_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_1_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_1_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
input_2_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_2_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
input_2_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_2_axis_tvalid = Signal(bool(0))
|
||||
input_2_axis_tlast = Signal(bool(0))
|
||||
input_2_axis_tuser = Signal(bool(0))
|
||||
input_2_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_2_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_2_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
input_3_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
input_3_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
input_3_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
input_3_axis_tvalid = Signal(bool(0))
|
||||
input_3_axis_tlast = Signal(bool(0))
|
||||
input_3_axis_tuser = Signal(bool(0))
|
||||
input_3_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
input_3_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
input_3_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
|
||||
output_0_select = Signal(intbv(0)[2:])
|
||||
output_1_select = Signal(intbv(0)[2:])
|
||||
@ -79,25 +95,33 @@ def bench():
|
||||
|
||||
# Outputs
|
||||
output_0_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_0_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
output_0_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_0_axis_tvalid = Signal(bool(0))
|
||||
output_0_axis_tlast = Signal(bool(0))
|
||||
output_0_axis_tuser = Signal(bool(0))
|
||||
output_0_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_0_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_0_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_1_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_1_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
output_1_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_1_axis_tvalid = Signal(bool(0))
|
||||
output_1_axis_tlast = Signal(bool(0))
|
||||
output_1_axis_tuser = Signal(bool(0))
|
||||
output_1_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_1_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_1_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_2_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_2_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
output_2_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_2_axis_tvalid = Signal(bool(0))
|
||||
output_2_axis_tlast = Signal(bool(0))
|
||||
output_2_axis_tuser = Signal(bool(0))
|
||||
output_2_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_2_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_2_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
output_3_axis_tdata = Signal(intbv(0)[DATA_WIDTH:])
|
||||
output_3_axis_tkeep = Signal(intbv(0)[KEEP_WIDTH:])
|
||||
output_3_axis_tkeep = Signal(intbv(1)[KEEP_WIDTH:])
|
||||
output_3_axis_tvalid = Signal(bool(0))
|
||||
output_3_axis_tlast = Signal(bool(0))
|
||||
output_3_axis_tuser = Signal(bool(0))
|
||||
output_3_axis_tid = Signal(intbv(0)[ID_WIDTH:])
|
||||
output_3_axis_tdest = Signal(intbv(0)[DEST_WIDTH:])
|
||||
output_3_axis_tuser = Signal(intbv(0)[USER_WIDTH:])
|
||||
|
||||
# sources and sinks
|
||||
source_0_pause = Signal(bool(0))
|
||||
@ -118,6 +142,8 @@ def bench():
|
||||
tkeep=input_0_axis_tkeep,
|
||||
tvalid=input_0_axis_tvalid,
|
||||
tlast=input_0_axis_tlast,
|
||||
tid=input_0_axis_tid,
|
||||
tdest=input_0_axis_tdest,
|
||||
tuser=input_0_axis_tuser,
|
||||
pause=source_0_pause,
|
||||
name='source_0'
|
||||
@ -132,6 +158,8 @@ def bench():
|
||||
tkeep=input_1_axis_tkeep,
|
||||
tvalid=input_1_axis_tvalid,
|
||||
tlast=input_1_axis_tlast,
|
||||
tid=input_1_axis_tid,
|
||||
tdest=input_1_axis_tdest,
|
||||
tuser=input_1_axis_tuser,
|
||||
pause=source_1_pause,
|
||||
name='source_1'
|
||||
@ -146,6 +174,8 @@ def bench():
|
||||
tkeep=input_2_axis_tkeep,
|
||||
tvalid=input_2_axis_tvalid,
|
||||
tlast=input_2_axis_tlast,
|
||||
tid=input_2_axis_tid,
|
||||
tdest=input_2_axis_tdest,
|
||||
tuser=input_2_axis_tuser,
|
||||
pause=source_2_pause,
|
||||
name='source_2'
|
||||
@ -160,6 +190,8 @@ def bench():
|
||||
tkeep=input_3_axis_tkeep,
|
||||
tvalid=input_3_axis_tvalid,
|
||||
tlast=input_3_axis_tlast,
|
||||
tid=input_3_axis_tid,
|
||||
tdest=input_3_axis_tdest,
|
||||
tuser=input_3_axis_tuser,
|
||||
pause=source_3_pause,
|
||||
name='source_3'
|
||||
@ -174,6 +206,8 @@ def bench():
|
||||
tkeep=output_0_axis_tkeep,
|
||||
tvalid=output_0_axis_tvalid,
|
||||
tlast=output_0_axis_tlast,
|
||||
tid=output_0_axis_tid,
|
||||
tdest=output_0_axis_tdest,
|
||||
tuser=output_0_axis_tuser,
|
||||
pause=sink_0_pause,
|
||||
name='sink_0'
|
||||
@ -188,6 +222,8 @@ def bench():
|
||||
tkeep=output_1_axis_tkeep,
|
||||
tvalid=output_1_axis_tvalid,
|
||||
tlast=output_1_axis_tlast,
|
||||
tid=output_1_axis_tid,
|
||||
tdest=output_1_axis_tdest,
|
||||
tuser=output_1_axis_tuser,
|
||||
pause=sink_1_pause,
|
||||
name='sink_1'
|
||||
@ -202,6 +238,8 @@ def bench():
|
||||
tkeep=output_2_axis_tkeep,
|
||||
tvalid=output_2_axis_tvalid,
|
||||
tlast=output_2_axis_tlast,
|
||||
tid=output_2_axis_tid,
|
||||
tdest=output_2_axis_tdest,
|
||||
tuser=output_2_axis_tuser,
|
||||
pause=sink_2_pause,
|
||||
name='sink_2'
|
||||
@ -216,6 +254,8 @@ def bench():
|
||||
tkeep=output_3_axis_tkeep,
|
||||
tvalid=output_3_axis_tvalid,
|
||||
tlast=output_3_axis_tlast,
|
||||
tid=output_3_axis_tid,
|
||||
tdest=output_3_axis_tdest,
|
||||
tuser=output_3_axis_tuser,
|
||||
pause=sink_3_pause,
|
||||
name='sink_3'
|
||||
@ -235,42 +275,58 @@ def bench():
|
||||
input_0_axis_tkeep=input_0_axis_tkeep,
|
||||
input_0_axis_tvalid=input_0_axis_tvalid,
|
||||
input_0_axis_tlast=input_0_axis_tlast,
|
||||
input_0_axis_tid=input_0_axis_tid,
|
||||
input_0_axis_tdest=input_0_axis_tdest,
|
||||
input_0_axis_tuser=input_0_axis_tuser,
|
||||
input_1_axis_tdata=input_1_axis_tdata,
|
||||
input_1_axis_tkeep=input_1_axis_tkeep,
|
||||
input_1_axis_tvalid=input_1_axis_tvalid,
|
||||
input_1_axis_tlast=input_1_axis_tlast,
|
||||
input_1_axis_tid=input_1_axis_tid,
|
||||
input_1_axis_tdest=input_1_axis_tdest,
|
||||
input_1_axis_tuser=input_1_axis_tuser,
|
||||
input_2_axis_tdata=input_2_axis_tdata,
|
||||
input_2_axis_tkeep=input_2_axis_tkeep,
|
||||
input_2_axis_tvalid=input_2_axis_tvalid,
|
||||
input_2_axis_tlast=input_2_axis_tlast,
|
||||
input_2_axis_tid=input_2_axis_tid,
|
||||
input_2_axis_tdest=input_2_axis_tdest,
|
||||
input_2_axis_tuser=input_2_axis_tuser,
|
||||
input_3_axis_tdata=input_3_axis_tdata,
|
||||
input_3_axis_tkeep=input_3_axis_tkeep,
|
||||
input_3_axis_tvalid=input_3_axis_tvalid,
|
||||
input_3_axis_tlast=input_3_axis_tlast,
|
||||
input_3_axis_tid=input_3_axis_tid,
|
||||
input_3_axis_tdest=input_3_axis_tdest,
|
||||
input_3_axis_tuser=input_3_axis_tuser,
|
||||
|
||||
output_0_axis_tdata=output_0_axis_tdata,
|
||||
output_0_axis_tkeep=output_0_axis_tkeep,
|
||||
output_0_axis_tvalid=output_0_axis_tvalid,
|
||||
output_0_axis_tlast=output_0_axis_tlast,
|
||||
output_0_axis_tid=output_0_axis_tid,
|
||||
output_0_axis_tdest=output_0_axis_tdest,
|
||||
output_0_axis_tuser=output_0_axis_tuser,
|
||||
output_1_axis_tdata=output_1_axis_tdata,
|
||||
output_1_axis_tkeep=output_1_axis_tkeep,
|
||||
output_1_axis_tvalid=output_1_axis_tvalid,
|
||||
output_1_axis_tlast=output_1_axis_tlast,
|
||||
output_1_axis_tid=output_1_axis_tid,
|
||||
output_1_axis_tdest=output_1_axis_tdest,
|
||||
output_1_axis_tuser=output_1_axis_tuser,
|
||||
output_2_axis_tdata=output_2_axis_tdata,
|
||||
output_2_axis_tkeep=output_2_axis_tkeep,
|
||||
output_2_axis_tvalid=output_2_axis_tvalid,
|
||||
output_2_axis_tlast=output_2_axis_tlast,
|
||||
output_2_axis_tid=output_2_axis_tid,
|
||||
output_2_axis_tdest=output_2_axis_tdest,
|
||||
output_2_axis_tuser=output_2_axis_tuser,
|
||||
output_3_axis_tdata=output_3_axis_tdata,
|
||||
output_3_axis_tkeep=output_3_axis_tkeep,
|
||||
output_3_axis_tvalid=output_3_axis_tvalid,
|
||||
output_3_axis_tlast=output_3_axis_tlast,
|
||||
output_3_axis_tid=output_3_axis_tid,
|
||||
output_3_axis_tdest=output_3_axis_tdest,
|
||||
output_3_axis_tuser=output_3_axis_tuser,
|
||||
|
||||
output_0_select=output_0_select,
|
||||
@ -305,10 +361,10 @@ def bench():
|
||||
output_2_select.next = 2
|
||||
output_3_select.next = 3
|
||||
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x01\x00\x00\xFF\x01\x02\x03\x04')
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x01\x01\x01\xFF\x01\x02\x03\x04')
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x01\x02\x02\xFF\x01\x02\x03\x04')
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x01\x03\x03\xFF\x01\x02\x03\x04')
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x01\x00\x00\xFF\x01\x02\x03\x04', id=0, dest=0)
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x01\x01\x01\xFF\x01\x02\x03\x04', id=1, dest=1)
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x01\x02\x02\xFF\x01\x02\x03\x04', id=2, dest=2)
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x01\x03\x03\xFF\x01\x02\x03\x04', id=3, dest=3)
|
||||
source_0.send(test_frame0)
|
||||
source_1.send(test_frame1)
|
||||
source_2.send(test_frame2)
|
||||
@ -347,10 +403,10 @@ def bench():
|
||||
output_2_select.next = 1
|
||||
output_3_select.next = 0
|
||||
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x02\x00\x03\xFF\x01\x02\x03\x04')
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x02\x01\x02\xFF\x01\x02\x03\x04')
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x02\x02\x01\xFF\x01\x02\x03\x04')
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x02\x03\x00\xFF\x01\x02\x03\x04')
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x02\x00\x03\xFF\x01\x02\x03\x04', id=0, dest=3)
|
||||
test_frame1 = axis_ep.AXIStreamFrame(b'\x02\x01\x02\xFF\x01\x02\x03\x04', id=1, dest=2)
|
||||
test_frame2 = axis_ep.AXIStreamFrame(b'\x02\x02\x01\xFF\x01\x02\x03\x04', id=2, dest=1)
|
||||
test_frame3 = axis_ep.AXIStreamFrame(b'\x02\x03\x00\xFF\x01\x02\x03\x04', id=3, dest=0)
|
||||
source_0.send(test_frame0)
|
||||
source_1.send(test_frame1)
|
||||
source_2.send(test_frame2)
|
||||
@ -389,7 +445,7 @@ def bench():
|
||||
output_2_select.next = 0
|
||||
output_3_select.next = 0
|
||||
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x03\x00\xFF\xFF\x01\x02\x03\x04')
|
||||
test_frame0 = axis_ep.AXIStreamFrame(b'\x03\x00\xFF\xFF\x01\x02\x03\x04', id=0, dest=0)
|
||||
source_0.send(test_frame0)
|
||||
yield clk.posedge
|
||||
|
@ -27,13 +27,21 @@ THE SOFTWARE.
|
||||
`timescale 1ns / 1ps
|
||||
|
||||
/*
|
||||
* Testbench for axis_crosspoint_64_4x4
|
||||
* Testbench for axis_crosspoint_4x4
|
||||
*/
|
||||
module test_axis_crosspoint_64_4x4;
|
||||
module test_axis_crosspoint_4x4_64;
|
||||
|
||||
// Parameters
|
||||
parameter DATA_WIDTH = 64;
|
||||
parameter KEEP_ENABLE = (DATA_WIDTH>8);
|
||||
parameter KEEP_WIDTH = (DATA_WIDTH/8);
|
||||
parameter LAST_ENABLE = 1;
|
||||
parameter ID_ENABLE = 1;
|
||||
parameter ID_WIDTH = 8;
|
||||
parameter DEST_ENABLE = 1;
|
||||
parameter DEST_WIDTH = 8;
|
||||
parameter USER_ENABLE = 1;
|
||||
parameter USER_WIDTH = 1;
|
||||
|
||||
// Inputs
|
||||
reg clk = 0;
|
||||
@ -44,22 +52,30 @@ reg [DATA_WIDTH-1:0] input_0_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_0_axis_tkeep = 0;
|
||||
reg input_0_axis_tvalid = 0;
|
||||
reg input_0_axis_tlast = 0;
|
||||
reg input_0_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_0_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_0_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_0_axis_tuser = 0;
|
||||
reg [DATA_WIDTH-1:0] input_1_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_1_axis_tkeep = 0;
|
||||
reg input_1_axis_tvalid = 0;
|
||||
reg input_1_axis_tlast = 0;
|
||||
reg input_1_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_1_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_1_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_1_axis_tuser = 0;
|
||||
reg [DATA_WIDTH-1:0] input_2_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_2_axis_tkeep = 0;
|
||||
reg input_2_axis_tvalid = 0;
|
||||
reg input_2_axis_tlast = 0;
|
||||
reg input_2_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_2_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_2_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_2_axis_tuser = 0;
|
||||
reg [DATA_WIDTH-1:0] input_3_axis_tdata = 0;
|
||||
reg [KEEP_WIDTH-1:0] input_3_axis_tkeep = 0;
|
||||
reg input_3_axis_tvalid = 0;
|
||||
reg input_3_axis_tlast = 0;
|
||||
reg input_3_axis_tuser = 0;
|
||||
reg [ID_WIDTH-1:0] input_3_axis_tid = 0;
|
||||
reg [DEST_WIDTH-1:0] input_3_axis_tdest = 0;
|
||||
reg [USER_WIDTH-1:0] input_3_axis_tuser = 0;
|
||||
|
||||
reg [1:0] output_0_select = 0;
|
||||
reg [1:0] output_1_select = 0;
|
||||
@ -71,22 +87,30 @@ wire [DATA_WIDTH-1:0] output_0_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_0_axis_tkeep;
|
||||
wire output_0_axis_tvalid;
|
||||
wire output_0_axis_tlast;
|
||||
wire output_0_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_0_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_0_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_0_axis_tuser;
|
||||
wire [DATA_WIDTH-1:0] output_1_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_1_axis_tkeep;
|
||||
wire output_1_axis_tvalid;
|
||||
wire output_1_axis_tlast;
|
||||
wire output_1_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_1_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_1_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_1_axis_tuser;
|
||||
wire [DATA_WIDTH-1:0] output_2_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_2_axis_tkeep;
|
||||
wire output_2_axis_tvalid;
|
||||
wire output_2_axis_tlast;
|
||||
wire output_2_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_2_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_2_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_2_axis_tuser;
|
||||
wire [DATA_WIDTH-1:0] output_3_axis_tdata;
|
||||
wire [KEEP_WIDTH-1:0] output_3_axis_tkeep;
|
||||
wire output_3_axis_tvalid;
|
||||
wire output_3_axis_tlast;
|
||||
wire output_3_axis_tuser;
|
||||
wire [ID_WIDTH-1:0] output_3_axis_tid;
|
||||
wire [DEST_WIDTH-1:0] output_3_axis_tdest;
|
||||
wire [USER_WIDTH-1:0] output_3_axis_tuser;
|
||||
|
||||
initial begin
|
||||
// myhdl integration
|
||||
@ -98,21 +122,29 @@ initial begin
|
||||
input_0_axis_tkeep,
|
||||
input_0_axis_tvalid,
|
||||
input_0_axis_tlast,
|
||||
input_0_axis_tid,
|
||||
input_0_axis_tdest,
|
||||
input_0_axis_tuser,
|
||||
input_1_axis_tdata,
|
||||
input_1_axis_tkeep,
|
||||
input_1_axis_tvalid,
|
||||
input_1_axis_tlast,
|
||||
input_1_axis_tid,
|
||||
input_1_axis_tdest,
|
||||
input_1_axis_tuser,
|
||||
input_2_axis_tdata,
|
||||
input_2_axis_tkeep,
|
||||
input_2_axis_tvalid,
|
||||
input_2_axis_tlast,
|
||||
input_2_axis_tid,
|
||||
input_2_axis_tdest,
|
||||
input_2_axis_tuser,
|
||||
input_3_axis_tdata,
|
||||
input_3_axis_tkeep,
|
||||
input_3_axis_tvalid,
|
||||
input_3_axis_tlast,
|
||||
input_3_axis_tid,
|
||||
input_3_axis_tdest,
|
||||
input_3_axis_tuser,
|
||||
output_0_select,
|
||||
output_1_select,
|
||||
@ -124,32 +156,48 @@ initial begin
|
||||
output_0_axis_tkeep,
|
||||
output_0_axis_tvalid,
|
||||
output_0_axis_tlast,
|
||||
output_0_axis_tid,
|
||||
output_0_axis_tdest,
|
||||
output_0_axis_tuser,
|
||||
output_1_axis_tdata,
|
||||
output_1_axis_tkeep,
|
||||
output_1_axis_tvalid,
|
||||
output_1_axis_tlast,
|
||||
output_1_axis_tid,
|
||||
output_1_axis_tdest,
|
||||
output_1_axis_tuser,
|
||||
output_2_axis_tdata,
|
||||
output_2_axis_tkeep,
|
||||
output_2_axis_tvalid,
|
||||
output_2_axis_tlast,
|
||||
output_2_axis_tid,
|
||||
output_2_axis_tdest,
|
||||
output_2_axis_tuser,
|
||||
output_3_axis_tdata,
|
||||
output_3_axis_tkeep,
|
||||
output_3_axis_tvalid,
|
||||
output_3_axis_tlast,
|
||||
output_3_axis_tid,
|
||||
output_3_axis_tdest,
|
||||
output_3_axis_tuser
|
||||
);
|
||||
|
||||
// dump file
|
||||
$dumpfile("test_axis_crosspoint_64_4x4.lxt");
|
||||
$dumpvars(0, test_axis_crosspoint_64_4x4);
|
||||
$dumpfile("test_axis_crosspoint_4x4_64.lxt");
|
||||
$dumpvars(0, test_axis_crosspoint_4x4_64);
|
||||
end
|
||||
|
||||
axis_crosspoint_64_4x4 #(
|
||||
axis_crosspoint_4x4 #(
|
||||
.DATA_WIDTH(DATA_WIDTH),
|
||||
.KEEP_WIDTH(KEEP_WIDTH)
|
||||
.KEEP_ENABLE(KEEP_ENABLE),
|
||||
.KEEP_WIDTH(KEEP_WIDTH),
|
||||
.LAST_ENABLE(LAST_ENABLE),
|
||||
.ID_ENABLE(ID_ENABLE),
|
||||
.ID_WIDTH(ID_WIDTH),
|
||||
.DEST_ENABLE(DEST_ENABLE),
|
||||
.DEST_WIDTH(DEST_WIDTH),
|
||||
.USER_ENABLE(USER_ENABLE),
|
||||
.USER_WIDTH(USER_WIDTH)
|
||||
)
|
||||
UUT (
|
||||
.clk(clk),
|
||||
@ -159,42 +207,58 @@ UUT (
|
||||
.input_0_axis_tkeep(input_0_axis_tkeep),
|
||||
.input_0_axis_tvalid(input_0_axis_tvalid),
|
||||
.input_0_axis_tlast(input_0_axis_tlast),
|
||||
.input_0_axis_tid(input_0_axis_tid),
|
||||
.input_0_axis_tdest(input_0_axis_tdest),
|
||||
.input_0_axis_tuser(input_0_axis_tuser),
|
||||
.input_1_axis_tdata(input_1_axis_tdata),
|
||||
.input_1_axis_tkeep(input_1_axis_tkeep),
|
||||
.input_1_axis_tvalid(input_1_axis_tvalid),
|
||||
.input_1_axis_tlast(input_1_axis_tlast),
|
||||
.input_1_axis_tid(input_1_axis_tid),
|
||||
.input_1_axis_tdest(input_1_axis_tdest),
|
||||
.input_1_axis_tuser(input_1_axis_tuser),
|
||||
.input_2_axis_tdata(input_2_axis_tdata),
|
||||
.input_2_axis_tkeep(input_2_axis_tkeep),
|
||||
.input_2_axis_tvalid(input_2_axis_tvalid),
|
||||
.input_2_axis_tlast(input_2_axis_tlast),
|
||||
.input_2_axis_tid(input_2_axis_tid),
|
||||
.input_2_axis_tdest(input_2_axis_tdest),
|
||||
.input_2_axis_tuser(input_2_axis_tuser),
|
||||
.input_3_axis_tdata(input_3_axis_tdata),
|
||||
.input_3_axis_tkeep(input_3_axis_tkeep),
|
||||
.input_3_axis_tvalid(input_3_axis_tvalid),
|
||||
.input_3_axis_tlast(input_3_axis_tlast),
|
||||
.input_3_axis_tid(input_3_axis_tid),
|
||||
.input_3_axis_tdest(input_3_axis_tdest),
|
||||
.input_3_axis_tuser(input_3_axis_tuser),
|
||||
// AXI outputs
|
||||
.output_0_axis_tdata(output_0_axis_tdata),
|
||||
.output_0_axis_tkeep(output_0_axis_tkeep),
|
||||
.output_0_axis_tvalid(output_0_axis_tvalid),
|
||||
.output_0_axis_tlast(output_0_axis_tlast),
|
||||
.output_0_axis_tid(output_0_axis_tid),
|
||||
.output_0_axis_tdest(output_0_axis_tdest),
|
||||
.output_0_axis_tuser(output_0_axis_tuser),
|
||||
.output_1_axis_tdata(output_1_axis_tdata),
|
||||
.output_1_axis_tkeep(output_1_axis_tkeep),
|
||||
.output_1_axis_tvalid(output_1_axis_tvalid),
|
||||
.output_1_axis_tlast(output_1_axis_tlast),
|
||||
.output_1_axis_tid(output_1_axis_tid),
|
||||
.output_1_axis_tdest(output_1_axis_tdest),
|
||||
.output_1_axis_tuser(output_1_axis_tuser),
|
||||
.output_2_axis_tdata(output_2_axis_tdata),
|
||||
.output_2_axis_tkeep(output_2_axis_tkeep),
|
||||
.output_2_axis_tvalid(output_2_axis_tvalid),
|
||||
.output_2_axis_tlast(output_2_axis_tlast),
|
||||
.output_2_axis_tid(output_2_axis_tid),
|
||||
.output_2_axis_tdest(output_2_axis_tdest),
|
||||
.output_2_axis_tuser(output_2_axis_tuser),
|
||||
.output_3_axis_tdata(output_3_axis_tdata),
|
||||
.output_3_axis_tkeep(output_3_axis_tkeep),
|
||||
.output_3_axis_tvalid(output_3_axis_tvalid),
|
||||
.output_3_axis_tlast(output_3_axis_tlast),
|
||||
.output_3_axis_tid(output_3_axis_tid),
|
||||
.output_3_axis_tdest(output_3_axis_tdest),
|
||||
.output_3_axis_tuser(output_3_axis_tuser),
|
||||
// Control
|
||||
.output_0_select(output_0_select),
|
Loading…
x
Reference in New Issue
Block a user